Presentation is loading. Please wait.

Presentation is loading. Please wait.

Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,

Similar presentations


Presentation on theme: "Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,"— Presentation transcript:

1 Session 10: The ISPD2005 Placement Contest

2 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui, APlace, NTUPlace, mFAR, Kraftwerk&Domino, Dragon2005  Result Announcement  Conclusion & Future Work

3 The ISPD2005 Placement Contest and Benchmark Suite Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia, Bruce Winter and Mehmet Yildiz IBM Corp.

4 4 ISPD 2005 Placement Benchmark Suite Name#Objs#Movs#Fixed#Nets #Total Pins #Pins from M #Pins from F Peri. IOs Dens- ity% adaptec1211K2109045432211429440539235132054048075.71 adaptec2255K254457566266009106948210456992378340778.59 adaptec3452K4509277234667581875039184385231187074.53 adaptec4496K49471613295159511912420187656335857062.67 bigblue1278K277604560284479114469111318561283552854.19 bigblue2558K5347822308457723521222821979597142685061.80 bigblue31097K1095519129311231703833218379010743111085.65 bigblue42177K21691838170222988689000788710667189411065.30

5 5 ISPD 2005 Placement Benchmark Suite

6 6

7 7  Real industrial ASIC designs  Free space  54% - 85%  Affects wire-length significantly  Macros  Wider distribution of cell sizes  I/Os: perimeter and area array I/Os  Various row configuration  Clock logic included

8 8 ISPD 2005 Placement Contest  Open contest primarily for academic physical design community  Covers majority of placement tools  FastPlace, Capo, mPL, FengShui, APlace, NTUPlace, mFAR, Kraftwerk&Domino, Dragon2005  Goals  To provide new modern placement benchmarks  To encourage to expose placement tools and results  To provide an educational forum on the state-of-the- art placement algorithms

9 9 Contest Format  Each team is given 5 days to come up with the best results they can get  Fixed window of time  No limit on CPU resources  Quality metrics  Legality  Half-perimeter bounding box wire length  No timing metric  No congestion metric

10 10 Contest Timeline  Jan. 12: Sample benchmarks released  Mar. 20: Contest benchmarks posted  Mar. 25: Solution submission due  Apr. 6: Results announcement

11 11 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui, APlace, NTUPlace, mFAR, Kraftwerk&Domino, Dragon2005  Result Announcement  Conclusion & Future Work

12 12 Wire-Length Results adaptec2adaptec4bigblue1bigblue2bigblue3bigblue4 Ratio APlace 87.31187.6594.64143.82357.89833.21 1.00 mFAR 91.53190.8497.70168.70379.95876.28 1.06 dragon 94.72200.88102.39159.71380.45903.96 1.08 mPL 97.11200.9498.31173.22369.66904.19 1.09 FastP 107.86204.48101.56169.89458.49889.87 1.16 Capo 99.71211.25108.21172.30382.631098.76 1.17 NTUP 100.31206.45106.54190.66411.811154.15 1.21 fs50 122.99337.22114.57285.43471.151040.05 1.50 K&D 157.65352.01149.44322.22656.191403.79 1.84

13 13 Conclusions & Future Works  New placement benchmark suite ISPD2005  ISPD2005 Placement Contest  Better quality metrics for future contest  Routability & congestion

14 14 adaptec2 Layout Figures

15 15 adaptec2 Layout Figures

16 16 adaptec2 Layout Figures


Download ppt "Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,"

Similar presentations


Ads by Google