A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.

Slides:



Advertisements
Similar presentations
Porosity Aware Buffered Steiner Tree Construction C. Alpert G. Gandham S. Quay IBM Corp M. Hrkic Univ Illinois Chicago J. Hu Texas A&M Univ.
Advertisements

Routing and Congestion Problems in General Networks Presented by Jun Zou CAS 744.
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Buffer and FF Insertion Slides from Charles J. Alpert IBM Corp.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
1 Advancing Supercomputer Performance Through Interconnection Topology Synthesis Yi Zhu, Michael Taylor, Scott B. Baden and Chung-Kuan Cheng Department.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
National Tsing Hua University Po-Yang Hsu,Hsien-Te Chen,
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
Chop-SPICE: An Efficient SPICE Simulation Technique For Buffered RC Trees Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
Layer Assignment Algorithm for RLC Crosstalk Minimization Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong Tsinghua University.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
1 DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jacon Cong ICCAD 2004 Presented by: Wei Chen.
ER UCLA UCLA ICCAD: November 5, 2000 Predictable Routing Ryan Kastner, Elaheh Borzorgzadeh, and Majid Sarrafzadeh ER Group Dept. of Computer Science UCLA.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
RLC Interconnect Modeling and Design Students: Jinjun Xiong, Jun Chen Advisor: Lei He Electrical Engineering Department Design Automation Group (
HARP: Hard-Wired Routing Pattern FPGAs Cristinel Ababei , Satish Sivaswamy ,Gang Wang , Kia Bazargan , Ryan Kastner , Eli Bozorgzadeh   ECE Dept.
CDCTree: Novel Obstacle-Avoiding Routing Tree Construction based on Current Driven Circuit Model Speaker: Lei He.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
FPGA Switch Block Design Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
AUTOMATIC BUS PLANNER FOR DENSE PCBS Hui Kong, Tan Yan and Martin D.F. Wong Department of Electrical and Computer Engineering, University of Illinois at.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
ARCHER:A HISTORY-DRIVEN GLOBAL ROUTING ALGORITHM Muhammet Mustafa Ozdal, Martin D. F. Wong ICCAD ’ 07.
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen and Jason Cong Computer Science Department University of California,
Temporal Logic Replication for Dynamically Reconfigurable FPGA Partitioning Wai-Kei Mak Dept. of Computer Science and Engineering University of South Florida.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Gate and Interconnect Optimization.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
A SAT-Based Routing Algorithm for Cross-Referencing Biochips Ping-Hung Yuh 1, Cliff Chiung-Yu Lin 2, Tsung- Wei Huang 3, Tsung-Yi Ho 3, Chia-Lin Yang 4,
1 ε -Optimal Minimum-Delay/Area Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial Time Jeng-Liang Tsai Tsung-Hao Chen Charlie Chung-Ping Chen (National.
Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building Yanfeng Wang, Qiang Zhou, Xianlong Hong, and Yici Cai Department of Computer Science and.
Po-Wei Lee, Chung-Wei Lin, Yao-Wen Chang, Chin-Fang Shen, Wei-Chih Tseng NTU &Synopsys An Efficient Pre-assignment Routing Algorithm for Flip-Chip Designs.
Crosstalk Noise Optimization by Post-Layout Transistor Sizing Masanori Hashimoto Masao Takahashi Hidetoshi Onodera Dept. CCE, Kyoto University.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
Chris Chu Iowa State University Yiu-Chung Wong Rio Design Automation
PARR:Pin Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU BEI YU JHIH-RONG GAO CHE-LUN HSU DAVID Z. PAN DAC’15.
Radhamanjari Samanta *, Soumyendu Raha * and Adil I. Erzin # * Supercomputer Education and Research Centre, Indian Institute of Science, Bangalore, India.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Routing Tree Construction with Buffer Insertion under Obstacle Constraints Ying Rao, Tianxiang Yang Fall 2002.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
1ISPD'03 Process Variation Aware Clock Tree Routing Bing Lu Cadence Jiang Hu Texas A&M Univ Gary Ellis IBM Corp Haihua Su IBM Corp.
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jason Cong , Computer Science Department , UCLA Presented.
An O(nm) Time Algorithm for Optimal Buffer Insertion of m Sink Nets Zhuo Li and Weiping Shi {zhuoli, Texas A&M University College Station,
6/19/ VLSI Physical Design Automation Prof. David Pan Office: ACES Placement (3)
VLSI Physical Design Automation
Buffer Insertion with Adaptive Blockage Avoidance
2 University of California, Los Angeles
Jinghong Liang,Tong Jing, Xianlong Hong Jinjun Xiong, Lei He
Tong Jing, Ling Zhang, Jinghong Liang
Performance Optimization Global Routing with RLC Crosstalk Constraints
Performance and RLC Crosstalk Driven Global Routing
Department of Computer Science and Technology
Presentation transcript:

A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici Cai Dept. of Computer Science & Technology, Tsinghua Univ. Jun Gu Dept. of Computer Science, Hong Kong Univ. of S & T P. R. China ASP-DAC 2003

2003/11/28THEDA2 Agenda Introduction Problem Formulation Timing Analysis Global Routing Algorithm Experiment Result Conclusion

2003/11/28THEDA3 Introduction (1/3) As we move towards VDSM, there are two major concerns for chip performance: 1.The power and ground noise cause by simultaneously switching circuits 2.Increasing aspect ratio of wires and decreasing of interconnect spacing

2003/11/28THEDA4 Introduction (2/3) Previous works did various contributions to timing optimization for global routing, but may have deviations in VDSM. Delay models such as Elmore delay may not have good estimation in VDSM.

2003/11/28THEDA5 Introduction (3/3) Increasing concern has been raised regarding the coupling effects, and mainly falls into two categories: 1.Minimizing crosstalk effects w/o emphasizing timing constraints 2.Estimating coupling capacitance for optimal wire sizing and spacing w/o carrying out topological optimization No measurements of coupling effects on interconnect delay to guide routing process !!

2003/11/28THEDA6 Problem Formulation (1/2) GRG (Global Routing Graph): the dual graph of the graph composed of the gridlines and crossings. Dual Graph:

2003/11/28THEDA7 Problem Formulation (2/2) Let The timing-driven global routing problem is then formulated to: P: path of wires & gates m: number of paths N n : total # of nets f j : total demand of the net using edge e j C j : edge capacity

2003/11/28THEDA8 Timing Analysis (1/4) Wire-Load-Estimation Model  Ref. [12] X. D. Yang, Ph.D. thesis  By simulation and curve-fitting, the largest error in estimation parasitics is 5%  With specified information as input, we can extract all capacitance around the conductor

2003/11/28THEDA9 Timing Analysis (2/4) Interconnect Delay Model  Ref. [13] A. Odabasioglu, et al., ICCAD 1997  Reduce the order of large RC net-lists and reach a good trade-off between accuracy and speed  The result can be within 1% of SPICE simulation

2003/11/28THEDA10 Timing Analysis (3/4)

2003/11/28THEDA11 Timing Analysis (4/4) Gate delay estimation  Ref. [14] J. Lillis, et al., DAC 1998  Use table-lookup model  The LUTs are all from industrial circuit library

2003/11/28THEDA12 Global Routing Algorithm Two phases: 1. The Initial Timing-Driven Steiner Tree Algorithm 2. Timing Optimization

2003/11/28THEDA13 ITDT (1/6) Elmore delay model between s and t ITDT algorithm constructs a Steiner tree for a given set of pins on GRG to minimize T D (s,t), which is a function of L and W

2003/11/28THEDA14 ITDT (2/6) Active Node is the current node generating new edges Compact Weight d(r, v j ) is formulated as representing for a given pin r, its relative position with other active nodes

2003/11/28THEDA15 ITDT (3/6) Source Related Weight (SRW) is used to denote the weight of generating directions related with L(s,t): m: size of the set of active nodes SRW encourges the node to grow towards the source

2003/11/28THEDA16 ITDT (4/6) Combined weight cbw(r) is defined to contribute the minimized W and L(s,t) simultaneously. The larger value of cbw(r) attracts the edge generating of the active node.

2003/11/28THEDA17 ITDT (5/6)

2003/11/28THEDA18 ITDT (6/6)

2003/11/28THEDA19 Timing Optimization (1/8) [Strategy] Based on initial solution, we optimize the network topology to adjust most congested area, but keep most critical path for good timing performance

2003/11/28THEDA20 Timing Optimization (2/8) For a net i on the critical path, t i is the proportion of delay contributed by it to the total path delay Build “forbidden net list” for rerouting by a given threshold t What if t=0? Or if t is small?

2003/11/28THEDA21 Timing Optimization (3/8) If we detour net 1 too much..? Congestion here!!

2003/11/28THEDA22 Timing Optimization (4/8) When applying congestion optimization algorithm, we also do the transference of the coupling capacitance simultaneously

2003/11/28THEDA23 Timing Optimization (5/8) Define the Extended Congestion(EC) of a segment to be the combination of coupling and congestion evaluation: C ci : coupling capacitance C mi : maximum coupling capacitance under minimum spacing condition

2003/11/28THEDA24 Timing Optimization (6/8) The EC weight of segment i on the longest delay path is: We magnify the weight of segments on critical path!

2003/11/28THEDA25 Timing Optimization (7/8) Final choice!

2003/11/28THEDA26 Timing Optimization (8/8)

2003/11/28THEDA27 Experiment Result (1/3) Method T: skip initial optimal routing tree construction and employ only normal optimization algorithm Method IT: apply initial routing tree construction and optimization algorithm w/o coupling directed optimization Method ITC: two-phase algorithm considering coupling effects

2003/11/28THEDA28 Experiment Result (2/3)

2003/11/28THEDA29 Experiment Result (3/3)

2003/11/28THEDA30 Conclusion A new timing-driven global routing algorithm is proposed By taking coupling effects into account and utilizing it in optimization process, delay performance is improved Experimental result shows good trade-off between accuracy and speed