Choice of Silicon Etch Processes for Opto- and Microelectronic Device Fabrication using Inductively Coupled Plasmas Colin Welch, Andrew Goodyear, Gary.

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT Flow 1 MonolithIC 3D Inc., Patents Pending.
Carbon nanotube field effect transistors (CNT-FETs) have displayed exceptional electrical properties superior to the traditional MOSFET. Most of these.
Laser-Assisted Direct Imprint (LADI) Technology S. Y. Chou, C. Keimel, and J. Gu, Ultrafast and direct imprint of nanostructures in silicon, Nature, 417.
ECE/ChE 4752: Microelectronics Processing Laboratory
1 Microelectronics Processing Course - J. Salzman - Jan Microelectronics Processing Oxidation.
Overview of Nanofabrication Techniques Experimental Methods Club Monday, July 7, 2014 Evan Miyazono.
C. Hibert, EPFL-CMICMI-Comlab revue, june 4th, 2002 Dry etching in MEMS fabrication by Cyrille Hibert in charge of etching activities in CMI clean room.
Microelectronics Processing
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
CREATING SILICON NANOSTRUCTURES WITH CONTROLLABLE SIDEWALL PROFILES BY USING FLUORINE-ENHANCED OXIDE PASSIVATION Sensing and Actuation in Miniaturized.
EE235 Class Presentation on Nanoimprint Lithography (Spring 2007) Fabrication of photonic crystal structures on light emitting diodes by nanoimprint lithography.
SOIMUMPs Process Flow Keith Miller Foundry Process Engineer.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
Silicon Etch Process Options for Micro- and Nanotechnology using Inductively Coupled Plasmas References [1] E.Yablonovitch, “Inhibited spontaneous emission.
Top Down Method Etch Processes
ES 176/276 – Section # 3 – 09/26/2011 Brief Overview from Section #2 – 09/19/2011 – MEMS examples:(MEMS Airbag accelerometer, Digital Micromirror Device,
Silicon Etch Process Options for Micro- and Nanotechnology using Inductively Coupled Plasmas References [1] See
The Etch and Deposition Company
Chapter 8 Ion Implantation Instructor: Prof. Masoud Agah
Plasma Etch and the MATEC Plasma Etcher Simulation
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Comparison of various TSV technology
10/09/2002 P. Roy G. Pellegrini, A. Al-Ajili, L. Haddad, J. Melone, V. O'Shea, K.M. Smith, V. Wright, M. Rahman Patrick Roy Study of irradiated 3D detectors.
Top Down Manufacturing
MIT Lincoln Laboratory NU Status-1 JAB 11/20/2015 Advanced Photodiode Development 7 April, 2000 James A. Burns ll.mit.edu.
Top Down Method Etch Processes
1 M. Chitteboyina, D. Butler and Z. Celik-Butler, Nanotechnology Research and Teaching Facility University of Texas at Arlington
Pran Mukherjee, Thomas H. Zurbuchen, L. Jay Guo, and Fred A. Herrero
SUMMARYSUMMARY  Ion bombardment control,  Thermal management,  No Defects (pits or pillars) formation,  Profile control  Plasma repeatability  Plasma.
2. Design Determine grating coupler period from theory: Determine grating coupler period from theory: Determine photonic crystal lattice type and dimensions.
Etching: Wet and Dry Physical or Chemical.
Multilayered Anisotropic Microparticles Fabrication of Novel Functional Materials Eric Baer, Case Western Reserve University, DMR Researchers in.
Etch Process Input and Output Parameters Process Modeling how to use input parameters to achieve desired output parameters Process Model Quality parameter1.
AZ 9260 Characterization By: Timothy Kowalchik and Keeton Hodgson.
(Chapters 29 & 30; good to refresh 20 & 21, too)
Chapter 5-1. Chemcal Etching.
Date of download: 6/9/2016 Copyright © 2016 SPIE. All rights reserved. Process flow chart of the trilevel resist system using the polysilazane on the spin-on.
Date of download: 7/7/2016 Copyright © 2016 SPIE. All rights reserved. Schematic of RIE system: (a) capacitive coupled plasma (CCP) RIE, and (b) inductive.
Equipment and technological processes for manufacturing GaAs MMICs PLASMA ETCHING ICP ETCHING TALK 6 1.
Process integration 2: double sided processing, design rules, measurements
Pt-Ox Test Results Wafer Processing and SEM by Kenneth Leedle
Etching Processes for Microsystems Fabrication
Fabrication of Photonic Crystals devices Hamidreza khashei
Temperature Sensors on Flexible Substrates
High Aspect Ratio Si Etching in STS2
Report from CNM activities
ICP-RIE equipment for any chemistry
Silicon Wafer cm (5’’- 8’’) mm
Corial 200R 11/17/2018 Simplicity, performance, and upgradability in a system designed for R&D environments RIE capabilities over a variety of materials.
Easy-to-use Reactive Ion Etching equipment
Solid State Devices Fall 2011
Software description cosma pulse
Processing for optical communication devices
DRIE APPLICATIONS In Corial 210IL.
SiC trench etch for MOSFET application
Simple-to-use, manually-loaded batch RIE system
Easy-to-use Reactive Ion Etching equipment
SiC processing In Corial 200 series.
Layer Transfer Using Plasma Processing for SMART-Wafer
Simple-to-use, manually-loaded batch RIE system
SiC DRIE APPLICATIONS In Corial 210IL.
Pt-DSE Test Results Testing conducted by Kenneth Leedle
SILICON MICROMACHINING
Project 1.4: Hydrogenation of dilute nitrides for single photon emitters in photonic crystals Saeed Younis.
PlasmaTherm DSE Qual - Nano Recipe
Fig. 2 Materials and designs for bioresorbable PC microcavity-based pressure and temperature sensors. Materials and designs for bioresorbable PC microcavity-based.
RIE - Reactive Ion Etching
Presentation transcript:

Choice of Silicon Etch Processes for Opto- and Microelectronic Device Fabrication using Inductively Coupled Plasmas Colin Welch, Andrew Goodyear, Gary Ditmer and Glenn Tan Oxford Instruments Plasma Technology Different techniques to etch silicon 1) Hydrogen bromide based Si and SOI etch process 2 ) Room temperature F-gas Si etch process 3) Cryogenic Si etch process Important features of a silicon etching process for micro- and nanotechnology 1 ) Feature sizes down to 100nm or less with aspect ratios at least 2:1 2 ) Controllable sidewall profile (generally vertical needed) 3 ) Smooth contamination free sidewalls 4) Sufficient selectivity over the mask and if applicable high selectivity over underlying layers 5) Good uniformity and good reproducibility Important features of ICP High ion density (>10 11 cm-3) Yet low process pressures Separate power for ICP and electrode -provides separate control over ion energy and ion density OIPT has optional cryo/hot electrode: -150°C to +400°C ICP gives excellent performance for Si etching, far exceeding RIE ProcessHBrRT F-baseCryogenic Depth [µm]0.05µm to 1µm0.05µm to 10µm0.2µm to >100µm Feature size [µm]>25nm Aspect ratio>5:1 >10:1 Etch rate [nm/min] >100>200>300 Uniformity< ± 5% Selectivity Si:oxide>100:1>10:1>30:1 Selectivity Si:resist>3:1>5:1>15:1 Profile ̊ Sidewall roughness<5nm To exploit the excellent properties of silicon we often need to pattern by etching to fabricate devices *2-dimensional photonic crystals *Micro-silicon waveguides *Grating structures *Nano-SOI MOSFETs *Novel future opto- and microelectronic devices A. Hydrogen Bromide (HBr) based silicon-on-insulator (SOI) process 1) Selectivity control Oxygen substitution is used to raise selectivity of silicon over SiO 2. Fig. 3 shows that extremely high selectivities can be achieved once the O 2 level reaches about 10%. Figure 3: Selectivity of polysilicon over SiO2 as a function of O 2 flow Figure 4: HBr based etch of 90nm polysilicon lines and spaces stopping on 3nm gate SiO 2. HSQ masked. B. Room temperature fluorinated chemistry silicon etch process This option has the benefit of using a non-corrosive octofluorocyclobutane (C 4 F 8 ) – sulfur hexafluoride (SF 6 ) Figure 5: Vertical HBr-based SOI etch. Figure 6: Profile angle as a function of C 4 F 8 percentage in SF 6 Angles 90° a re-entrant profile Fig. 7 and Fig. 8 shows the flexibility of the process in its use for a 1µm wide x 5µm deep waveguide and for 50nm wide trenches (300nm deep) respectively. Figure 7: Room temperature F-based etch. 1µm wide x 5µm deep Si waveguide Figure 8: RT F-based etch. 50nm wide trenches in Si (6:1 aspect ratio). Courtesy of ITRI/MIRL, Taiwan C. Cryogenic silicon etch process The cryogenic silicon etching offers the best performance of all the options by using sub-minus 100ºC Figure 10: Cryogenic Si etch. 0.5µm wide waveguidesx10µm deep with no mask undercut (Courtesy of NCRC University of Tokyo) Figure 11: Cryogenic Si etch. 0.1µm gaps etched 1µm deep.Aspect ratio 10:1 Cryogenic Silicon Etch using SF6 / O2 F O FSi (Cryogenic temperature) O F F F O FO Etching (SiFx) Passivation effect (SiOxFy) Si Figure 1: ICP Process Chamber Figure 2: Si etch process comparison data Figure 9: Cryogenic Si etch mechanism