Chin-Hsiung Hsu, Yao-Wen Chang, and Sani Rechard Nassif From ICCAD09.

Slides:



Advertisements
Similar presentations
New Graph Bipartizations for Double-Exposure, Bright Field Alternating Phase-Shift Mask Layout Andrew B. Kahng (UCSD) Shailesh Vaya (UCLA) Alex Zelikovsky.
Advertisements

A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
Xiaoqing Xu1, Brian Cline2, Greg Yeric2, Bei Yu1, David Z. Pan1
Linear Constraint Graph for Floorplan Optimization with Soft Blocks Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago,
Minimum Implant Area-Aware Gate Sizing and Placement
1 Routing and Wavelength Assignment in Wavelength Routing Networks.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
GREMA: Graph Reduction Based Efficient Mask Assignment for Double Patterning Technology Yue Xu, Chris Chu Iowa State University Form ICCAD2009.
An ILP-based Automatic Bus Planner for Dense PCBs P. C. Wu, Q. Ma and M. D. F. Wong Department of Electrical and Computer Engineering, University of Illinois.
TPL-aware displacement-driven detailed placement refinement with coloring constraints Tao Lin and Chris Chu Iowa State University 1.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
Automated Layout and Phase Assignment for Dark Field PSM Andrew B. Kahng, Huijuan Wang, Alex Zelikovsky UCLA Computer Science Department
Circuit Retiming with Interconnect Delay CUHK CSE CAD Group Meeting One Evangeline Young Aug 19, 2003.
1 CS 201 Compiler Construction Lecture 12 Global Register Allocation.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Yield- and Cost-Driven Fracturing for Variable Shaped-Beam Mask Writing Andrew B. Kahng CSE and ECE Departments, UCSD Xu Xu CSE Department, UCSD Alex Zelikovsky.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
UCSD VLSI CAD Laboratory BACUS-2008 Revisiting the Layout Decomposition Problem for Double Patterning Lithography Andrew B. Kahng, Chul-Hong Park, Xu Xu,
Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,
Backtracking Reading Material: Chapter 13, Sections 1, 2, 4, and 5.
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, and Ting-Chi Wang Department of.
Sambuddha Bhattacharya Subramanian Rajagopalan Shabbir H. Batterywala Fixing Double Patterning Violations With Look-Ahead ASD-DAC’14.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
Optimally Minimizing Overlay Violation in Self-aligned Double Patterning Decomposition for Row-based Standard Cell Layout in Polynomial Time Z. Xiao, Y.
Hsiu-Yu Lai Ting-Chi Wang A TPL-Friendly Legalizer for Standard Cell Based Design SASIMI ‘15.
Accurate Process-Hotspot Detection Using Critical Design Rule Extraction Y. Yu, Y. Chan, S. Sinha, I. H. Jiang and C. Chiang Dept. of EE, NCTU, Hsinchu,
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
Global Routing.
Seongbo Shim, Yoojong Lee, and Youngsoo Shin Lithographic Defect Aware Placement Using Compact Standard Cells Without Inter-Cell Margin.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
K.Yuan, J.Yang and D.Pan ECE Dept. Univ. of Texas at Austin
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
BSG-Route: A Length-Matching Router for General Topology T. Yan and M. D. F. Wong University of Illinois at Urbana-Champaign ICCAD 2008.
Efficient Multi-Layer Obstacle- Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Shih-Lun Huang, Kai-Chi Hsu,Meng-Xiang Li, Yao-Wen Chang.
Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Coverage Criteria for Testing of Object Interactions in Sequence Diagrams Atanas (Nasko) Rountev Scott Kagan Jason Sawin Ohio State University.
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
Self-Aligned Double Patterning Decomposition for Overlay Minimization and Hot Spot Detection H. Zhang, Y. Du, M. D.F. Wong, R. Topaloglu Dept. of ECE,
Hsing-Chih Chang Chien Hung-Chih Ou Tung-Chieh Chen Ta-Yu Kuan Yao-Wen Chang Double Patterning Lithography-Aware Analog Placement.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
CMOS Fabrication nMOS pMOS.
Non-stitch Triple Patterning- Aware Routing Based on Conflict Graph Pre-coloring Po-Ya Hsu Yao-Wen Chang.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
Yen-Ting Yu Iris Hui-Ru Jiang Yumin Zhang Charles Chiang DRC-Based Hotspot Detection Considering Edge Tolerance and Incomplete Specification ICCAD’14.
Purpose of design rules:
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
ICS 353: Design and Analysis of Algorithms Backtracking King Fahd University of Petroleum & Minerals Information & Computer Science Department.
Layout design rules. 2 Introduction  Layout rules is also referred as design rules.  It is considered as a prescription for preparing photomasks. 
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
11 Yibo Lin 1, Xiaoqing Xu 1, Bei Yu 2, Ross Baldick 1, David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE Department, Chinese University.
Layout of CMOS Circuits
Geometric Design Rules
1.3 Modeling with exponentially many constr.
Where are we? Lots of Layout issues Line of diffusion style
Algorithms for Budget-Constrained Survivable Topology Design
1.3 Modeling with exponentially many constr.
Tree -decomposition * 竹内 和樹 * 藤井 勲.
CS 201 Compiler Construction
Presentation transcript:

Chin-Hsiung Hsu, Yao-Wen Chang, and Sani Rechard Nassif From ICCAD09

Outline INTRODUCTION PROBLEM FORMULATION SIMULTANEOUS LAYOUT MIGRATION AND DECOMPOSITION FLOW Potential DPT-Conflict Graphs and Pattern Splitting DPT-aware Constraint Graphs Basic ILP Formulation ILP Problem-Size Reduction DPT-aware Standard Cells EXPERIMENTAL RESULTS

INTRODUCTION Double patterning technology (DPT) and layout migration (LM) are crucial technologies for chip manufacturing in the nanometer era. Due to their interplay, it is necessary to consider the effects of the two technologies simultaneously to obtain a better design flow for manufacturability enhancement.

Sub-pattern geometric closeness

Outline INTRODUCTION PROBLEM FORMULATION SIMULTANEOUS LAYOUT MIGRATION AND DECOMPOSITION FLOW Potential DPT-Conflict Graphs and Pattern Splitting DPT-aware Constraint Graphs Basic ILP Formulation ILP Problem-Size Reduction DPT-aware Standard Cells EXPERIMENTAL RESULTS

PROBLEM FORMULATION( SMD problem) Input: original layout L,double-patterning spacing Sd minimum overlap length lo for splitting patterns Output: decomposed and migrated layout L* Objective: minimize # of stitch,area of layout and the sub-pattern geometric closeness Constraint: design rule constraints,DPT constraints and minimum-overlap-length constraints.

Outline INTRODUCTION PROBLEM FORMULATION SIMULTANEOUS LAYOUT MIGRATION AND DECOMPOSITION FLOW Potential DPT-Conflict Graphs and Pattern Splitting DPT-aware Constraint Graphs Basic ILP Formulation ILP Problem-Size Reduction DPT-aware Standard Cells EXPERIMENTAL RESULTS

SIMULTANEOUS LAYOUT MIGRATION AND DECOMPOSITION FLOW

Potential DPT-Conflict Graphs and Pattern Splitting In traditional DPT-conflict graph, a node is introduced to represent a tile, and two tiles are connected by an edge if their spacing is smaller than Sd. Not suitable for this SMD problem

Potential DPT-Conflict Graphs and Pattern Splitting This paper construct an edge between two adjacent tiles even if their spacing is larger than Sd.

DPT-aware Constraint Graphs General edges Inter-layer constraint (ex: a contact is covered by a metal) Intra-layer constraint (ex: the minimum width and minimum spacing) DPT-aware minimum-overlap-length constraints (ex: two tile should overlap with each other for a certain length at the junction for a stitch) Optional edges (two tiles can be separated along either the x- or y-direction and at least one separation constraint is satisfied) DPT edges (If two tiles are connected by a DPT edge, their spacing needs be at least Sd only if they are on the same mask)

Basic ILP Formulation Boundary constraints DRC constraints DPT constraints Stitch constraints

A C B A B C d1 d2 D D Horizontal Constraint Graph

Stitch

ds Distance(ti,tj)<=ds

Linearization <=0 = 0

Linearization

ILP Problem-Size Reduction If a tile is connected and can be pseudo-colored with a different color without inducing a stitch, the tile and the connecting edge are included into the subgraph.

ILP Problem-Size Reduction reduces the ILP variables by 44.7%, the ILP constraints by 58.2%, and the DPT edges by 79.9% on average

DPT-aware Standard Cells

Outline INTRODUCTION PROBLEM FORMULATION SIMULTANEOUS LAYOUT MIGRATION AND DECOMPOSITION FLOW Potential DPT-Conflict Graphs and Pattern Splitting DPT-aware Constraint Graphs Basic ILP Formulation ILP Problem-Size Reduction DPT-aware Standard Cells EXPERIMENTAL RESULTS

Testcases form UMC 90nm Free library and two artificial cases Testing process is 32nm DPT spacing is 64nm(112nm) for poly(metal)

EXPERIMENTAL RESULTS