TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,

Slides:



Advertisements
Similar presentations
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Advertisements

1 Optimal Control of Chiller Condenser Sub-cooling, Compressor Speed, Tower Fan and Pump Speeds, and IGV Omer Qureshi, Hassan Javed & Peter Armstrong,
Transportation Problem (TP) and Assignment Problem (AP)
EFFECT OF DESIGN FACTORS ON THERMAL FATIGUE CRACKING OF DIE CASTING DIES John F. Wallace David Schwam Sebastian Birceanu Case Western Reserve University.
Improving and Trouble Shooting Cleanroom HVAC System Designs By George Ting-Kwo Lei, Ph.D. Fluid Dynamics Solutions, Inc. Clackamas, Oregon.
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
National Tsing Hua University Po-Yang Hsu,Hsien-Te Chen,
Optimizing heater power in a thermal process. Problem Statement Laminar Inflow at 20°C Outlet Heater 1 Heater 2 Maximize the temperature at the outlet.
1 Minimum Ratio Contours For Meshes Andrew Clements Hao Zhang gruvi graphics + usability + visualization.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
An Impulse-Response Based Methodology for Modeling Complex Interconnect Networks Zeynep Dilli, Neil Goldsman, Akın Aktürk Dept. of Electrical and Computer.
Placement of Integration Points in Multi-hop Community Networks Ranveer Chandra (Cornell University) Lili Qiu, Kamal Jain and Mohammad Mahdian (Microsoft.
Experimental Design Fr. Clinic II Dr. J. W. Everett.
MECH 221 FLUID MECHANICS (Fall 06/07) Chapter 9: FLOWS IN PIPE
1 Simulated Annealing Terrance O ’ Regan. 2 Outline Motivation The algorithm Its applications Examples Conclusion.
Online Data Gathering for Maximizing Network Lifetime in Sensor Networks IEEE transactions on Mobile Computing Weifa Liang, YuZhen Liu.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
P M V Subbarao Professor Mechanical Engineering Department I I T Delhi
M. Yoda, S. I. Abdel-Khalik, D. L. Sadowski and M. D. Hageman Woodruff School of Mechanical Engineering Extrapolating Experimental Results for Model Divertor.
Kick-off meeting 3 October 2012 Patras. Research Team B Communication Networks Laboratory (CNL), Computer Engineering & Informatics Department (CEID),
Max-flow/min-cut theorem Theorem: For each network with one source and one sink, the maximum flow from the source to the destination is equal to the minimal.
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Water piping design.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Escape Routing For Dense Pin Clusters In Integrated Circuits Mustafa Ozdal, Design Automation Conference, 2007 Mustafa Ozdal, IEEE Trans. on CAD, 2009.
IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS 2007 (TPDS 2007)
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
1 Calorimeter Thermal Analysis with Increased Heat Loads September 28, 2009.
Sensor-Based Fast Thermal Evaluation Model For Energy Efficient High-Performance Datacenters Q. Tang, T. Mukherjee, Sandeep K. S. Gupta Department of Computer.
SIZING PNEUMATIC SYSTEMS. Introduction Pneumatic systems are sized to meet output power requirements. The air distribution system is sized to carry the.
Fast Thermal Analysis on GPU for 3D-ICs with Integrated Microchannel Cooling Zhuo Fen and Peng Li Department of Electrical and Computer Engineering, {Michigan.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
CMPE 511 Computer Architecture A Faster Optimal Register Allocator Betül Demiröz.
Efficient Deployment Algorithms for Prolonging Network Lifetime and Ensuring Coverage in Wireless Sensor Networks Yong-hwan Kim Korea.
1 Exploring Custom Instruction Synthesis for Application-Specific Instruction Set Processors with Multiple Design Objectives Lin, Hai Fei, Yunsi ACM/IEEE.
20 th June 20111Enrico Da Riva, V. Rao Project Request and Geometry constraints June 20 th 2011 Bdg 298 Enrico Da Riva,Vinod Singh Rao CFD GTK.
The Family of Shell and Tube Heat Exchangers P M V Subbarao Professor Mechanical Engineering Department I I T Delhi Family members with Simple Geometrical.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Approximate Dynamic Programming Methods for Resource Constrained Sensor Management John W. Fisher III, Jason L. Williams and Alan S. Willsky MIT CSAIL.
Analytical Modeling of Forced Convection in Slotted Plate Fin Heat Sinks P. Teertstra, J. R. Culham & M. M. Yovanovich Microelectronics Heat Transfer Laboratory.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
SIMPLE: Stable Increased Throughput Multi-hop Link Efficient Protocol For WBANs Qaisar Nadeem Department of Electrical Engineering Comsats Institute of.
© Pritchard Introduction to Fluid Mechanics Chapter 8 Internal Incompressible Viscous Flow.
Thermal Aware Data Management in Cloud based Data Centers Ling Liu College of Computing Georgia Institute of Technology NSF SEEDM workshop, May 2-3, 2011.
1 Network Models Transportation Problem (TP) Distributing any commodity from any group of supply centers, called sources, to any group of receiving.
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Convection in Flat Plate Boundary Layers P M V Subbarao Associate Professor Mechanical Engineering Department IIT Delhi A Universal Similarity Law ……
Wajid Minhass, Paul Pop, Jan Madsen Technical University of Denmark
Report on testing Snake2 u-channel. P. Jalocha & J. Buytaert. 8 June 2015.
Pipe flow analysis.
Introduction to Fluid Mechanics
1 Chapter 5 Branch-and-bound Framework and Its Applications.
Date of download: 7/3/2016 Copyright © ASME. All rights reserved. From: Analysis of Flow and Thermal Performance of a Water-Cooled Transversal Wavy Microchannel.
Internal Flow: General Considerations. Entrance Conditions Must distinguish between entrance and fully developed regions. Hydrodynamic Effects: Assume.
Date of download: 9/26/2017 Copyright © ASME. All rights reserved.
CFD-Team Weekly Meeting - 8th March 2012
Date of download: 12/22/2017 Copyright © ASME. All rights reserved.
Process Equipment Design and Heuristics – Heat Exchangers
Boundary Layer Analysis of Flow through a Single Channel PEM Fuel Cell
Internal Flow: General Considerations
P M V Subbarao Professor Mechanical Engineering Department I I T Delhi
Introduction to Fluid Mechanics
Egyptian Atomic Energy Authority (EAEA), Egypt
Presentation transcript:

TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD, USA ISPD 2012

Outline Introduction and Motivation Thermal and Power model with micro- channels Formulation and Micro-channel design algorithms Experimental results Conclusions

Introduction Conventional air cooling might be not enough for stacked 3D-ICs. –Micro-channel based liquid cooling is developed. Micro-channel heat sinks are embedded below each silicon layer and the coolant fluid is pumped through the micro-channels.

Schematics

Effectiveness

Motivating example Conventionally, straight channels are used. –But TSVs will block the route of straight channels.

Introduction (cont.) With bended structure, the micro-channels can reach those TSV-blocked hotspot regions which straight micro-channels cannot reach. Compared to straight channel design, up to 87% pumping power could be saved.

Thermal and Power model with micro-channels

Micro-channel power consumption

Fluid flow rate

Modeling Micro-channels with bends Three types of region –Fully developed laminar flow region. –The bend corner. –The developing/turbulent region after the bend.

Pressure drop

Total pumping power Solve the equation for fluid velocity. Estimate the fluid flow rate f, and thus estimate the thermal resistance and pumping power for this channel. Hence, the pumping power as well as cooling effectiveness of micro-channels with bends is a function of –Number of bends. –Location of channels. –Pressure drop across the channel. Slower velocity means lower cooling efficiency. –More pumping power is needed.

Problem to be solved To find micro-channel routes from one side to the other such that –The routes do not intersect. –Avoid TSVs. –Provide sufficient cooling at minimum pumping energy.

Represent the routing problem Each grid on the layout is a node. Edge exists if –Two nodes are adjacent. –Non of them is a TSV. Formulate the problem Minimize pumping power I/O nodes Routable nodes TSV constraints Temperature constraints Edge constraints The same edge

The grid graph

But… This is a very complex problem since –The variables need to be discrete. –The thermal and pumping power models are highly nonlinear. Propose a min-cost flow based method to do the job.

Overall flow The flow –Full scale thermal analysis. –Initial micro-channel design –Iterative refinement with thermal analysis

Min-cost flow based micro-channel design Initialization –I/O nodes are assigned a supply/demand of one flow unit. –All nodes in the grid graph have a capacity one. –The edges have unlimited capacity and are bi- directional. Assigning the node capacity to be 1 would ensure that all the flow from inlet to outlet follows simple paths (non-intersecting and non-cyclic).

Cooling demand

Cooling demand (cont.)

Cost assignment

Cost assignment (cont.)

Micro-channel refinement Two situation that degrade the cooling quality. –Some channels have several bends. –It may be routed over disproportionately large number of hotspots. Iteratively refine the results

How to get the minimum required pumping power Linearly increase the pressure drop until the temperature met the goal.

Iterative micro-channel optimization The objective of minimum cost flow formulation did not capture cooling energy and/or number of bends in the channels. Such imbalance (in cooling demand and bend count) leads to increase in the required pressure drop and thereby increasing the pumping energy.

Iterative micro-channel optimization (cont.) The basic idea is that all the channels should have similar levels of heat load, length and number of bends. Based on these considerations, the initial design is refined by –Balancing the heat loads among micro- channels. –Reducing unnecessary bends.

Iterative micro-channel optimization (cont.) Micro-channel heat load balancing:

Iterative micro-channel optimization (cont.) Bend Elimination –Identify all unnecessary bends and replace them with equivalent straight channels or patterns with lesser corners. –Removing corners in the hotspot region might lead to reduction in the cooling performance. –Only remove those corners in the non- hotspot regions which can easily be identified by the thermal analysis.

Experimental setting Two-tier stacked 3D-IC with 4-core CPU on each. –Different number of TSVs which are randomly distributed. SPEC 2000 CPU benchmarks –Simulate 20 such benchmarks to get power profile and randomly choose 4 of these profiles to compose a one-tier profile. Combine two of these power profiles to form a two-tier profile.

Parameters

Experimental results (cont.) Uses 20 micro-channels.

Conclusions Micro-channel cooling will be needed in the near future. Proposes a flow which designs TSV- constrained micro-channel infrastructure. Up to 87% pumping power saving compared with the micro-channel structure using straight channels.