George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2005.

Slides:



Advertisements
Similar presentations
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Advertisements

ECE 447: Course Organization Instructor:Kris Gaj, S&T II, Room 223 Office hours: T, R 4:30-5:30 PM Lab assistants: Thuy-Tien Nguyen (M)
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
CSE 670 Embedded System Design Using FPGAs Prof. Richard E. Haskell 115 Dodge Hall.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
ENEE 408C Lab Capstone Project: Digital System Design Spring 2006 Class Web Site:
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
Simple Testbenches Behavioral Modeling of Combinational Logic
CSE 378 Computer Hardware Design Prof. Richard E. Haskell – –Tel: –Web site: Follow.
ECE 448 FPGA and ASIC Design with VHDL
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
ECE 447: Course Organization Instructor:Michael Garcia, Engineering Bldg. 3707, 3708 Office hours: Wednesday, 7:20-8:20pm; Sunday, TBD.
ECE 448 FPGA and ASIC Design with VHDL Spring 2008.
Data Flow Modeling of Combinational Logic Simple Testbenches
ECE 448 FPGA and ASIC Design with VHDL
Lecture #1 Page 1 ECE 4110– Digital SystemDesign.
Introduction to Experiment 5 VGA Signal Generator ECE 448 Spring 2009.
1 Keyboard Controller Design By Tamas Kasza Digital System Design 2 (ECE 5572) Summer 2003 A Project Proposal for.
CMPUT Computer Organization and Architecture II1 CMPUT329 - Fall 2003 Computer Organization and Architecture II José Nelson Amaral.
Early Introduction to Programmable Devices and tools in Digital Laboratory Course Parimal Patel Wei-Ming Lin Presented by Dr. Mehdi Shadaram Chirag Parikh.
EL 3101 EL310 Hardware Description Languages Spring 2015 Instructor: Ilker Hamzaoglu Teaching Assistant: Ercan Kalalı Web Site:
TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Experiment 7 VHDL Modeling of Embedded Microprocessors and Microcontrollers.
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
ECE 448 FPGA and ASIC Design with VHDL Spring 2010.
FPGA Design Flow Based on Using Seven-Segment Displays,
Welcome to the ECE 449 Computer Design Lab Spring 2005.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
CSE 171 Introduction to Digital Logic and Microprocessors Prof. Richard E. Haskell 115 Dodge Hall.
EEL4712 Digital Design. Instructor Dr. Greg Stitt Office Hours: M Period 3, W Period 4 Subject to change.
displayCtrlr Specification
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
ECE Single-Chip Microcomputers Organization Course designed by Professor Kenneth J. Hintz Instructor:Jason Bales S&T II, Room 235
ECE 448 FPGA and ASIC Design with VHDL Spring 2011.
George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2004.
ECE 447: Course Organization Course designed by: Professor Kenneth J. Hintz Instructor:Jason M Bales, S&T II, Room 235 (703)
Introduction to Experiment 6 Internal FPGA Memories, Pseudo Random Number Generator, Advanced Testbenches ECE 448 Spring 2009.
Computer Engineering 1502 Advanced Digital Design Professor Donald Chiarulli Computer Science Dept Sennott Square
Introduction to FPGA Tools
ECE 448 FPGA and ASIC Design with VHDL
CEC 220 Digital Circuit Design Wednesday, January 7 CEC 220 Digital Circuit Design Slide 1 of 12.
CSE 378 Computer Hardware Design (Formerly, Design of Digital Systems) Prof. Richard E. Haskell – –Tel: –Web site:
CEC 220 Digital Circuit Design Monday, August 24 CEC 220 Digital Circuit Design Slide 1 of 13.
Teaching Digital Logic courses with Altera Technology
ECE 448 FPGA and ASIC Design with VHDL
ECE 545—Digital System Design with VHDL Lecture 1
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
ECE 448 FPGA and ASIC Design with VHDL Spring 2009.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL FPGA Design Flow based on Aldec Active-HDL FPGA Board.
ECE 448 – FPGA and ASIC Design with VHDL George Mason University ECE 448 Lab 2 Implementing Combinational Logic in VHDL.
Project 1.  Two parts Implement a 3 bit Gray Code Counter Implement a 4-to-1 muxtiplexer  Can be done on Altera (Quartis) or Xilinx 8/22/2012 – ECE.
Course web page: ECE 545 Introduction to VHDL ECE web page  Courses  Course web pages  ECE 545.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and ISim. Using Seven-Segment Displays, Buttons, and Switches.
ECE 448: Lab 4 VGA Display Snake Game. Flexibility in the Second Part of the Semester Lab 4: VGA display (2 weeks) – 8 points Lab 5: Computer Graphics.
1 Digital Logic Design (41-135) Introduction Younglok Kim Dept. of Electrical Engineering Sogang University Spring 2006.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and Isim. Using Seven-Segment Displays, Buttons, and Switches.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
ECE web page  Courses  Course web pages
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
RTL Design Methodology
RTL Design Methodology
ECE 545—Digital System Design with VHDL Lecture 1
RTL Design Methodology
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
RTL Design Methodology
RTL Design Methodology Transition from Pseudocode & Interface
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Presentation transcript:

George Mason University ECE 449 – Computer Design Lab Welcome to the ECE 449 Computer Design Lab Spring 2005

2ECE 449 – Computer Design Lab Your TA – Monday & Tuesday section Milind M. Parelkar Office hours: TBD

3ECE 449 – Computer Design Lab Your TA – Thursday section Kamal Sayeed Office hours: Wednesday 7-9pm, Room 203

4ECE 449 – Computer Design Lab Lab meetings Venue: ST-II, Room 203 The first part of each class is reserved for a lecture given by the TA and the following hands-on session The second part of each class is reserved for the previous experiment demonstrations and the work on the new experiment

5ECE 449 – Computer Design Lab Lab policies Please refer to class website: ECE 449 Official Class Web Resources

6ECE 449 – Computer Design Lab Lab experiments (Part I, Individual) Combinational Logic – 7 Segment LED, etc. January 31- February 3 Sequential Logic – Blinking LEDs (Simulation) February 7-10 Sequential Logic – Blinking LEDs (Testing) February Finite State Machine – Sequence Detector February Finite State Machine – Pump Controller February 28-March 3

7ECE 449 – Computer Design Lab Lab experiments (Part II, Dual) Programmable Pulse Generator March March VGA Signal Generator April 4-7 April Microcontroller Core & Logic Analyzer April April May 2-5

8ECE 449 – Computer Design Lab Displaying Vertical Bars on the VGA screen End of Screen Color 1 Color 2 Color 64 Colors Repeat 8 pixels

9ECE 449 – Computer Design Lab 4 lines Color 1 Color 2 Color 64 Colors Repeat 4 lines Color 3 Color 4 Displaying Horizontal Bars on the VGA screen

10ECE 449 – Computer Design Lab Horizontal TraceHorizontal Flyback Vertical Flyback Generating pixels on the VGA monitor screen

11ECE 449 – Computer Design Lab VGA Control Signal Timing

12ECE 449 – Computer Design Lab Experiment 7: Top level view of the implemented circuit PIC µController FPGA PORTB PORTA 7-Seg Decoder PORTA Display PORTC = PORTC(0)STROBE CLK RESET

13ECE 449 – Computer Design Lab PICROM 256 x 12 Data Addr PROGRAM PCPC Instruction Decoder W ALU COMPUTATIONS CONSTANTS OPCODES Address Bus Data Bus 8 8 CONTROL UNIT MCLRCLK EXTENDED ALU PORTAPORTBPORTC 488 DATA FSR DinDout REGFILE R8 R31 Fsel PIC Microcontroller Core

14ECE 449 – Computer Design Lab Set Port Directions RESET Sum <= ‘0’ Counter <= ‘0’ Wait for a rising edge at Port C(0) Port B <= Port A Sum <= Sum + Port A Counter <= Counter + 1 Counter = 8? N Y Wait for a rising edge at Port C(0) Port B <= Sum(3 downto 0) Wait for a rising edge at Port C(0) Port B <= Sum(7 downto 4) Flowchart of our PIC program

15ECE 449 – Computer Design Lab Experiment 7-LA: Top level view of the implemented circuit PIC µController FPGA PORTB PORTA 7-Seg Decoder PORTA Display PORTC = PORTC(0)STROBE CLK RESET AddrData 8 8

16ECE 449 – Computer Design Lab Grading Lab Experiments (Part I)30% Midterm exam35% March 7, 8, 10 Lab Experiments (Part II)35%

17ECE 449 – Computer Design Lab Recommended Texts (1) Allen Dewey, Analysis and Design of Digital Systems with VHDL, 1997, PWS publishing, ISBN Sundar Rajan, Essential VHDL: RTL Synthesis Done Right Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, McGraw-Hill © 2000 Edition: 1 ISBN:

18ECE 449 – Computer Design Lab Software ActiveHDL by Aldec used for design entry and simulation Synplify Pro by Synplicity used for logic synthesis Xilinx ISE by Xilinx Inc. used for implementation in Xilinx FPGA devices

19ECE 449 – Computer Design Lab Hardware XSA-100 boards with Xilinx Spartan 2 FPGA 2S100tq144 used in Spring 2004 New boards from Xilinx are likely to be used in Spring 2005

20ECE 449 – Computer Design Lab ?

21ECE 449 – Computer Design Lab ?