Introduction to VHDL Spring 2007. EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.

Slides:



Advertisements
Similar presentations
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Advertisements

1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
© Dr. Alaaeldin Amin 1 Hardware Modeling & Synthesis Using VHDL Very High Speed Integrated Circuits Start Of VHDL Development First Publication.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 2 Khurram Kazi Some of the slides were taken from K Gaj ’ s lecture slides from GMU ’ s VHDL.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
Introduction to VHDL for Synthesis
VHDL Training ©1995 Cypress Semiconductor 1 Introduction  VHDL is used to:  document circuits  simulate circuits  synthesize design descriptions 
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Data Flow Modeling of Combinational Logic Simple Testbenches
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 4: Modeling Dataflow.
ECE 2372 Modern Digital System Design
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
Tutorial 1 Combinational Logic Synthesis. Introduction to VHDL VHDL = Very high speed Hardware Description Language VHDL and Verilog are the industry.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
ENG241 Digital Design Week #4 Combinational Logic Design.
EE3A1 Computer Hardware and Digital Design Lecture 5 Testbenches and Memories in VHDL.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #16 – Introduction.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
George Mason University Data Flow Modeling in VHDL ECE 545 Lecture 7.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches Concurrent Statements & Adders.
Data Flow Modeling in VHDL
ECOM 4311—Digital System Design with VHDL
CDA 4253 FPGA System Design Introduction to VHDL
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
George Mason University Introduction to VHDL for Synthesis Lecture 3.
CEC 220 Digital Circuit Design Introduction to VHDL Friday, February 21 CEC 220 Digital Circuit Design Slide 1 of 10.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
CDA 4253 FPGA System Design Introduction to VHDL
Systems Architecture Lab: Introduction to VHDL
Design Entry: Schematic Capture and VHDL
ENG2410 Digital Design “Combinational Logic Design”
Hardware Descriptive Languages these notes are taken from Mano’s book
VHDL VHSIC Hardware Description Language VHSIC
Data Flow Modeling of Combinational Logic
Hardware Descriptive Languages these notes are taken from Mano’s book
VHDL Discussion Subprograms
VHDL Structural Architecture
VHDL Introduction.
VHDL Discussion Subprograms
Hardware Modeling & Synthesis Using VHDL
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
CprE / ComS 583 Reconfigurable Computing
4-Input Gates VHDL for Loops
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

Introduction to VHDL Spring 2007

EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description Language. Originally developed by DoD for specifying digital system. VHDL is an IEEE standard specification language (IEEE 1164).

EENG 2920 Digital Systems Design Uses Description of complex digital circuits. Modeling the behavior of complex circuit so that it’s operation could be simulated. Input to design entry in CAD systems thereby reducing the time to complete design cycle.

EENG 2920 Digital Systems Design Features of VHDL Technology/vendor independent Reusable Portable

EENG 2920 Digital Systems Design Features of program 1. VHDL is not case sensitive 2. All names should start with an alphabet character (a-z or A-Z) 3. Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_) 4. Do not use any punctuation or reserved characters within a name (!, ?,., &, +, -, etc.) 5. Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid) 6. All names and labels in a given entity and architecture must be unique

EENG 2920 Digital Systems Design Features of program Comments are indicated with a double-dash. The carriage return terminates a comment. No formatting conventions imposed by VHDL compiler. Example: if (a=b) then or if (a=b)then or if (a = b) then are all equivalent

EENG 2920 Digital Systems Design VHDL MODEL A complete VHDL component description consists of an Entity and an Architecture. Entity – Describes a component’s interface. Architecture – defines a component’s function. Architectural Description – Structural, behavioral (algorithmic and dataflow).

EENG 2920 Digital Systems Design Entity Declaration Entity Declaration describes the interface of the component, i.e. input and output ports. Reserved words ENTITY nor_gate IS PORT( x : IN STD_LOGIC; y : IN STD_LOGIC; z : OUT STD_LOGIC ); END nor_gate; Entity name Port names Port type Semicolon No Semicolon Port modes

EENG 2920 Digital Systems Design Architecture Architecture describes an implementation of a design entity. Example of architectural implementation: ARCHITECTURE sample OF nor_gate IS BEGIN z <= x nor y; END sample;

EENG 2920 Digital Systems Design Complete VHDL Model LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nor_gate IS PORT( x : IN STD_LOGIC; y : IN STD_LOGIC; z : OUT STD_LOGIC); END nor_gate; ARCHITECTURE sample OF nand_gate IS BEGIN z <= x NAND y; END sample; nor_gate.vhd

EENG 2920 Digital Systems Design Port Modes In: Data goes into the component and only appear on the right side of a signal or variable assignment. Out: Values cannot be read into the component but can only be updated from within. It can only appear on the left side of a signal assignment. Inout: Bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment.

EENG 2920 Digital Systems Design Signals SIGNAL x : STD_LOGIC; SIGNAL y : STD_LOGIC_VECTOR(7 DOWNTO 0); wire x bus y 1 8

EENG 2920 Digital Systems Design Standard Logic Vectors SIGNAL m: STD_LOGIC; SIGNAL n: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL o: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL p: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL q: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL r: STD_LOGIC_VECTOR(8 DOWNTO 0); ………. m <= ‘0’; n <= ”0000”; -- Binary base assumed by default o <= B”0000”; -- Binary base explicitly specified p <= ”0110_0111”; -- You can use ‘_’ to increase readability q <= X”BF74”; -- Hexadecimal base r <= O”745”; -- Octal base

EENG 2920 Digital Systems Design Vectors and Concatenation SIGNAL x: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL y: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL z, m, n: STD_LOGIC_VECTOR(7 DOWNTO 0); a <= ”0000”; b <= ”1111”; c <= a & b; -- c = ” ” m <= ‘1’ & ” ”; -- d <= ” ” n <= ‘1’ & ‘1’ & ‘0’ & ‘0’ & ‘1’ & ‘1’ & ‘1’ & ‘1’; -- e <= ” ”

EENG 2920 Digital Systems Design VHDL Design Styles Components and interconnects structural VHDL Design Styles dataflow Concurrent statements behavioral Registers State machines Test benches Sequential statements

EENG 2920 Digital Systems Design Example – xor3

EENG 2920 Digital Systems Design Entity xor3 ENTITY xor3 IS PORT( X, Y, Z : IN STD_LOGIC; R : OUT STD_LOGIC ); end xor3;

EENG 2920 Digital Systems Design Dataflow Architecture (xor3 gate) ARCHITECTURE dataflow OF xor3 IS SIGNAL m_sig: STD_LOGIC; BEGIN m_sig <=X XOR Y; R <= m_sig XOR Z; END dataflow; m_sig

EENG 2920 Digital Systems Design Dataflow Description Gives a description of how data moves through the system and the various processing steps. Data Flow uses series of concurrent statements to realize logic. Order of data flow does not matter because concurrent statements are evaluated at the same time. Data Flow is most useful style when series of Boolean equations can represent a logic.

EENG 2920 Digital Systems Design Structural Architecture (xor3 gate) ARCHITECTURE structural OF xor3 IS SIGNAL U1_OUT: STD_LOGIC; COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; BEGIN U1: xor2 PORT MAP (m => X, n => Y, p => m_sig); U2: xor2 PORT MAP (m => m_sig, n => z, p => R); END structural; X Y Z RXOR3 m_sig

EENG 2920 Digital Systems Design Component and Instantiation (1) Named association connectivity COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (m => X, n => Y, p => m_sig);

EENG 2920 Digital Systems Design COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (X, Y, m_sig); Component and Instantiation (2) Positional association connectivity

EENG 2920 Digital Systems Design Structural Description Structural design is the simplest to understand is the closest to schematic capture and utilizes simple building blocks to compose logic functions. Components are interconnected in a hierarchical manner. Structural descriptions may connect simple gates or complex, abstract components. Structural style is useful when expressing a design that is naturally composed of sub- blocks.

EENG 2920 Digital Systems Design Behavioral Architecture (xor3 gate) ARCHITECTURE behavioral OF xor3 IS BEGIN xor3_behav: PROCESS (X,Y,Z) BEGIN IF ((X XOR Y XOR Z) = '1') THEN R <= '1'; ELSE R <= '0'; END IF; END PROCESS xor3_behav; END behavioral;

EENG 2920 Digital Systems Design Behavioral Description It accurately models what happens on the inputs and outputs of the black box (no matter what is inside and how it works). This style uses PROCESS statements in VHDL.