Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.

Slides:



Advertisements
Similar presentations
Group: Wilber L. Duran Duo (Steve) Liu
Advertisements

Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Yi-Lin Chuang1, Sangmin Kim2, Youngsoo Shin2, and Yao-Wen Chang National Taiwan University, Taiwan KAIST, Korea 2010 DAC.
Topology-Aware Buffer Insertion and GPU-Based Massively Parallel Rerouting for ECO Timing Optimization Yen-Hung Lin, Yun-Jian Lo, Hian-Syun Tong, Wen-Hao.
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
Natarajan Viswanathan Min Pan Chris Chu Iowa State University International Symposium on Physical Design April 6, 2005 FastPlace: An Analytical Placer.
X-Architecture Placement Based on Effective Wire Models Tung-Chieh Chen, Yi-Lin Chuang, and Yao-Wen Chang Graduate Institute of Electronics Engineering.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
1 Physical Hierarchy Generation with Routing Congestion Control Chin-Chih Chang *, Jason Cong *, Zhigang (David) Pan +, and Xin Yuan * * UCLA Computer.
Tanuj Jindal ∗, Charles J. Alpert‡, Jiang Hu ∗, Zhuo Li‡, Gi-Joon Nam‡, Charles B. Winn‡‡ ∗ Department of ECE, Texas A&M University, College Station, Texas.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
Congestion Driven Placement for VLSI Standard Cell Design Shawki Areibi and Zhen Yang School of Engineering, University of Guelph, Ontario, Canada December.
Placer Suboptimality Evaluation Using Zero-Change Transformations Andrew B. Kahng Sherief Reda VLSI CAD lab UCSD ECE and CSE Departments.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
Intrinsic Shortest Path Length: A New, Accurate A Priori Wirelength Estimator Andrew B. KahngSherief Reda VLSI CAD Laboratory.
Boosting: Min-Cut Placement with Improved Signal Delay Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA
International Conference on Computer-Aided Design San Jose, CA Nov. 2001ER UCLA UCLA 1 Congestion Reduction During Placement Based on Integer Programming.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
A Resource-level Parallel Approach for Global-routing-based Routing Congestion Estimation and a Method to Quantify Estimation Accuracy Wen-Hao Liu, Zhen-Yu.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.
7/13/ EE4271 VLSI Design VLSI Routing. 2 7/13/2015 Routing Problem Routing to reduce the area.
General Routing Overview and Channel Routing
Chih-Hung Lin, Kai-Cheng Wei VLSI CAD 2008
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
Global Routing.
Pattern Selection based co-design of Floorplan and Power/Ground Network with Wiring Resource Optimization L. Li, Y. Ma, N. Xu, Y. Wang and X. Hong WuHan.
Block-level 3D IC Design with Through-Silicon-Via Planning Dae Hyun Kim, Rasit Onur Topaloglu, and Sung Kyu Lim Department of Electrical and Computer Engineering,
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Solving Hard Instances of FPGA Routing with a Congestion-Optimal Restrained-Norm Path Search Space Keith So School of Computer Science and Engineering.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement Jarrod A. Roy, James F. Lu and Igor L. Markov University of Michigan Ann.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
GLARE: Global and Local Wiring Aware Routability Evaluation Yaoguang Wei1, Cliff Sze, Natarajan Viswanathan, Zhuo Li, Charles J. Alpert, Lakshmi Reddy,
Congestion Estimation and Localization in FPGAs: A Visual Tool for Interconnect Prediction David Yeager Darius Chiu Guy Lemieux The University of British.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
I N V E N T I V EI N V E N T I V E A Morphing Approach To Address Placement Stability Philip Chong Christian Szegedy.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
1 NTUplace: A Partitioning Based Placement Algorithm for Large-Scale Designs Tung-Chieh Chen 1, Tien-Chang Hsu 1, Zhe-Wei Jiang 1, and Yao-Wen Chang 1,2.
System in Package and Chip-Package-Board Co-Design
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
EE4271 VLSI Design VLSI Channel Routing.
6/19/ VLSI Physical Design Automation Prof. David Pan Office: ACES Placement (3)
Jin-Yih Li Yih-Lang Li Computer & Information TSMC Science Department,
Interconnect Architecture
EE4271 VLSI Design, Fall 2016 VLSI Channel Routing.
Presentation transcript:

Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical Engineering and Computer Science, Peking University, Beijing, China †Joint Research Institute in Science and Engineering by Peking University and UCLA Optimizing Routability in Large-Scale Mixed-Size Placement ASPDAC 2013

Outline Introduction Placement flow Routability metric Narrow channel reduction Dummy-cell insertion Pre-placement inflation Experimental results Conclusion

Introduction One of the most crucial objectives of modern-day VLSI placement is the minimization of the final routed wirelength on the chip. Satisfying this objective has a detrimental effect on the performance of a placer and greatly affects factors such as congestion, delay, and timing.

Placement Flow Follow an analytical [7, 2, 16] placement approach To estimate the routing congestion, we first decompose multi-pin nets into two-pin nets by FLUTE Then, we divide the chip into global tiles and compute the congestion of each tile by taking into account the routing demand and the routing supply

Congestion Calculation TileWidth be the width of the tile WidthBB be the width of the bounding box of the two-pin net Ovlp be the overlapping area between the tile and the bounding box of the net and WireH be the horizontal wire area of the net. BlockageH be the product of the ratio of horizontal tracks which are occupied

Placement Formulation (x,y) be the vector of cell coordinates HPWL(x,y) be the total half-perimeter wirelength on the placement area D b (x,y) to be the cell density inside placement bin b M b to be the average cell density The placement formulation is:

Routability Metric The metric of DAC 2012 routability-driven placement contest accounts for both routability and runtime. ACE: the average congestion of g-cell edges based on the histogram of g-edge congestion ACE(x): the average congestion of the top x% congested g- cell edges In order to simplify calculations, the contest routers NCTUgr and BFG-R report the set of ACE values

Routability Metric Based on the ACE metric, we calculate the peak-weighted congestion as: The routing congestion is: PF be the penalty factor that scales the HPWL to account for routing congestion. In the DAC 2012 placement contest PF=0.03 so that for every 1% excess routing congestion, there is a 3% wirelength penalty RuntimeFactor be the runtime factor that penalizes placers based on their runtime

Narrow channel reduction by applying neighbor-based fixed-macro inflation A common factor of congestion in modern industrial designs is the existence of fixed macros on the placement area The existence of narrow channels on the placement area contributes to the routing congestion on the chip.

Narrow channel reduction by applying neighbor-based fixed-macro inflation For each one of the fixed macros, we define a set of right-side neighbors that consists of all fixed macros located on the right side of this particular macro, and whose distance from the macro is smaller than a right-threshold value. We also define four rates of inflation for the macro, each rate associated to the degree of inflation we apply to the macro towards the respective side.

Dummy-cell insertion inside regions of reduced fixed-macro density When a design has the majority of its macros located at the periphery, then the empty part of the placement area may become congested during the placement of cells. To avoid this type of congestion, we identify large empty regions on the chip and insert dummy cells inside them.

Pre-placement inflation GTL-Based Inflation We adopt the Group of Tangled Logic (GTL) metric that was introduced in [8] to detect tangled logic structures in a netlist. Let T(C) be the net cut of cell cluster C |C| be the number of cells in C A C be the average pin count of cells in C A G be the average pin count of all cells p be the Rent exponent. The GTL score of C is defined as follows:

Pre-placement inflation GTL-Based Inflation A bottom-up clustering of cells is initially performed and all cells are treated as objects. The best pair of objects is identified and clustered into a new object and the process continues iteratively until the netlist becomes sufficiently coarse. We integrate GTL scoring into the clustering process with a small runtime overhead and each object is associated to a GTL score curve

Pre-placement inflation GTL-Based Inflation In our work, the allocation of the whitespace among the detected tangled logic structures is proportional to their respective weights as follows: The weight of the C is based on the observation that clusters with a large number of cells and smaller area are more likely to be congested and should be inflated

Pre-placement inflation Pin Density-Based Inflation Pin density-based inflation minimizes the maximum pin density. It is based on the fact that inflated cells reserve routing resources proportional to the number of pins they contain. The inflated area {Ai} is the variable in the following optimization problem: Let p i be the number of pins of cell i A i be the original area of cell i A’ i be the inflated area of cell i W be the whitespace

Pre-placement inflation Pin Density-Based Inflation

Experimental results

Conclusion Proposed placer incorporates narrow channel reduction dummy-cell insertion pre-placement inflation Results compare favorably to the top four teams that participated in the DAC 2012 contest.