1/8/2007 - L23 Project Step 9 - Sequential Machine Copyright 2006 - Joanne DeGroat, ECE, OSU1 Project Step 9 Beyond the ALU and Datapath. Sequential Machine.

Slides:



Advertisements
Similar presentations
L15 – Specification of State Machines
Advertisements

9/15/09 - L23 More Sequential Circuits Copyright Joanne DeGroat, ECE, OSU1 More Sequential Circuits.
1/8/ VerilogCopyright Joanne DeGroat, ECE, OSU1 Verilog Overview An overview of the Verilog HDL.
L23 – Adder Architectures. Adders  Carry Lookahead adder  Carry select adder (staged)  Carry Multiplexed Adder  Ref: text Unit 15 9/2/2012 – ECE 3561.
STATE DIAGRAM AND STATE TABLES
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
L7 – Derivation of State Graphs and Tables – Moore Machines.
9/15/09 - L20 Flip FlopsCopyright Joanne DeGroat, ECE, OSU1 Flip Flops Not a gymnastic movement.
L18 – VHDL for other counters and controllers. Other counters  More examples Gray Code counter Controlled counters  Up down counter  Ref: text Unit.
1/8/ L17 Resolved SiganlsCopyright Joanne DeGroat, ECE, OSU1 Resolved Signals What are resolved signals and how do they work. Resolution???
9/15/09 - L22 Sequential Circuit Design Copyright Joanne DeGroat, ECE, OSU1 Sequential Circuit Design Creating a sequential circuit to address a.
9/15/09 - L25 Registers & Load Enable Copyright Joanne DeGroat, ECE, OSU1 Registers & Load Enable.
9/15/09 - L15 Decoders, Multiplexers Copyright Joanne DeGroat, ECE, OSU1 Decoders and Multiplexers.
Advanced FPGA Based System Design Lecture-9 & 10 VHDL Sequential Code By: Dr Imtiaz Hussain 1.
L5 – Sequential Circuit Design
L16 – Testbenches for state machines. VHDL Language Elements  More examples HDL coding of class examples Testbench for example  Testing of examples.
L10 – State Machine Design Topics. States Machine Design  Other topics on state machine design Equivalent sequential machines Incompletely specified.
1/8/ L20 Project Step 8 - Data Path Copyright Joanne DeGroat, ECE, OSU1 State Machine Design with an HDL A methodology that works for documenting.
9/15/09 - L15 Decoders, Multiplexers Copyright Joanne DeGroat, ECE, OSU1 Decoders and Multiplexer Circuits.
L26 – Datapath ALU implementation
L6 – Derivation of State Graphs and Tables. State Graphs and Tables  Problem Statement translation To State Graphs To State Tables  Ref: text : Unit.
L16 – VHDL for State Machines with binary encoding.
1/8/ L7 Project Step 3Copyright Joanne DeGroat, ECE, OSU1 Project Step 3 Structural Modeling and the Generate Statement.
L12 – VHDL Overview. VHDL Overview  HDL history and background  HDL CAD systems  HDL view of design  Low level HDL examples  Ref: text Unit 10, 17,
9/15/09 - L21 Sequential Circuit Analaysis Copyright Joanne DeGroat, ECE, OSU1 Sequential Circuit Analysis.
9/15/09 - L26 Shift RegistersCopyright Joanne DeGroat, ECE, OSU1 Shift Registers.
9/15/09 - L13 Technology Mapping & Verificaiton Copyright Joanne DeGroat, ECE, OSU1 Technology Mapping and Verification.
1/8/ Extra CreditCopyright Joanne DeGroat, ECE, OSU1 PS3xcr Extra Credit Project Modification that can be done to the datapath.
1/8/ L16 Timing & Concurrency III Copyright Joanne DeGroat, ECE, OSU1 Timing & Concurrency III Delay Model foundations for simulation and.
9/15/09 - L19 Sequential CircuitsCopyright Joanne DeGroat, ECE, OSU1 Sequential Cirucits.
1/8/ L11 Project Step 5Copyright Joanne DeGroat, ECE, OSU1 Project Step 7 Behavioral modeling of a dual ported register set.
Midterm Exam ReviewCopyright Joanne DeGroat, ECE, OSU1 Midterm Exam Notes.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Project 1.  Two parts Implement a 3 bit Gray Code Counter Implement a 4-to-1 muxtiplexer  Can be done on Altera (Quartis) or Xilinx 8/22/2012 – ECE.
Common Elements in Sequential Design. Lecture 3 topics  Registers and Register Transfer  Shift Registers  Counters Basic Counter Partial sequence counters.
0808/0809 ADC. Block Diagram ADC ADC0808/ADC Bit μP Compatible A/D Converters with 8-Channel Multiplexer The 8-bit A/D converter uses successive.
1/8/ L11 Project Step 5Copyright Joanne DeGroat, ECE, OSU1 Project Step 6 Step 3 in behavioral modeling. Use of packages.
State Machine Design with an HDL
EE694v - Verification - Lect 12
Implementing Combinational
L5 – Sequential Circuit Design
Modification that can be done to the datapath.
Behavioral modeling of a dual ported register set.
RTL Design Methodology
Copyright Joanne DeGroat, ECE, OSU
Project Step 2 – A single bit slice of the ALU
Modification that can be done to the datapath.
Copyright Joanne DeGroat, ECE, OSU
MicroBaby Datapath.
Copyright Joanne DeGroat, ECE, OSU
L25 – Datapath ALU.
MicroBaby Datapath.
Copyright Joanne DeGroat, ECE, OSU
State Machine Design with an HDL
A floating point multiplier behavior model.
Timing & Concurrency II
Beyond the ALU and Datapath. Sequential Machine Modeling exercise.
RTL Design Methodology
A floating point multiplier behavior model.
Behavioral modeling of a dual ported register set.
L7s Multiple Output example
L11 – State Machine Analysis
RTL Design Methodology
Project Step 2 – A single bit slice of the ALU
A floating point multiplier behavior model.
Copyright Joanne DeGroat, ECE, OSU
Timing & Concurrency II
Timing & Concurrency II
Beyond the ALU and Datapath. Sequential Machine Modeling exercise.
Project Step 2 – A single bit slice of the ALU
Presentation transcript:

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU1 Project Step 9 Beyond the ALU and Datapath. Sequential Machine Modeling exercise.

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU2 The sequential machine modeling style  In the lecture on state machine modeling introduces how three processes can be used to model the state machine.  This style is good for documentation, simulation, and synthesis.  And it also maps across multiple HDLs.

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU3 The machine to be modeled  This exercise is to model a Successive Approximation A-to-D converter.  This also introduces the ability of VHDL to model mixed signal systems at a high level of abstraction.

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU4 More on the controller  Modeling to the SAR Controller and SAR Control Register This is the heart of a successive approximation A-to-D unit

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU5 Analog units  D-to-A converter is analog  Analog comparator for comparison of value to the input  These units are modeled in the testbench algorithmically

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU6 The digital portion  You are to model the digital portion  Inputs An over/under signal  a 1 indicates the value generated by the SAR is currently too high  A 0 indicates the value generated by the SAR is too low Start – begin a new conversion. The analog value has been captured. sarclk – the clock for the unit

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU7 The digital portion -2  The outputs eoc indicator signal line – indicates the end of the conversion and that the value on digital_val is valid digital_val – the 8-bit digital conversion value sar_val – an 8-bit output that is sent to the D-to-A converter internal to the entire unit  Note that part of the entire unit is modeled by the testbench. The assignment is only modeling of the controller, the digital portion.

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU8 Conversion basics  The input range is 0-5Volts  Starting state for converter state machine (1 st approximation) is or 2.5V  If this is less than input the next bit is set to 1, and again a comparison is made.  If this is greater than the input the bit is set 0 before the next bit position is set to 1,

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU9 Conversion example 1  Input 4.3 V  Start = 2.5 Under so keep  NS = 3.75 Under so keep  NS = Over so revert to 0  NS = Under so keep  NS = Under so keep  NS = Over so revert  NS = Under so keep  NS = Under so keep  Result is  EOC asserted

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU10 State machine  State machine has multiple states Ready to convert S1, S2, S3, S4, S5, S6, S7 while converting EOC – done converting

1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU11 Notes on operation  Notes on operation Start will transition high when the analog input is valid and remains high during the conversion EOC is asserted and system asserting start will de-assert it Once start returns low, EOC is to be reset.  DO NOT SIMULATE UNTIL time’high TESTBENCH NEVER GOES QUIESENT Testbench has a free running clock, sarclk run 20 us instead

The Waveform 1/8/ L23 Project Step 9 - Sequential Machine Copyright Joanne DeGroat, ECE, OSU12