Ob-Chip Networks and Testing1 On-Chip Networks and Testing-II.

Slides:



Advertisements
Similar presentations
A DISTRIBUTED CSMA ALGORITHM FOR THROUGHPUT AND UTILITY MAXIMIZATION IN WIRELESS NETWORKS.
Advertisements

Power-Aware and BIST-Aware NoC Reuse on the Testing of Core-based Systems Érika Cota Luigi Carro Flávio WagnerMarcelo Lubaszewski UFRGS Porto Alegre, Brazil.
Evaluation of On-Chip Interconnect Architectures for Multi-Core DSP Students : Haim Assor, Horesh Ben Shitrit 2. Shared Bus 3. Fabric 4. Network on Chip.
An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement National Tsing Hua University Hsinchu, Taiwan Chin-Lung Su, Yi-Ting Yeh,
REAL-TIME COMMUNICATION ANALYSIS FOR NOCS WITH WORMHOLE SWITCHING Presented by Sina Gholamian, 1 09/11/2011.
Super computers Parallel Processing By: Lecturer \ Aisha Dawood.
ECE 720T5 Fall 2011 Cyber-Physical Systems Rodolfo Pellizzoni.
CSC457 Seminar YongKang Zhu December 6 th, 2001 About Network Processor.
Hardwired networks on chip for FPGAs and their applications
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR SRAM-based FPGA n SRAM-based LE –Registers in logic elements –LUT-based logic element.
Single-Chip Multiprocessor Nirmal Andrews. Case for single chip multiprocessors Advances in the field of integrated chip processing. - Gate density (More.
Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design N. Vinay Krishnan EE249 Class Presentation.
Hyunbean Yi, Sungju Park, and Sandip Kundu, Fellow, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS-I : REGULAR PAPERS, VOL. 57, NO. 7, JULY 2010 Reporter:
Packet-Switched vs. Time-Multiplexed FPGA Overlay Networks Kapre et. al RC Reading Group – 3/29/2006 Presenter: Ilya Tabakh.
Spring 2008 Network On Chip Platform Instructor: Yaniv Ben-Itzhak Students: Ofir Shimon Guy Assedou.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
Chapter 10 Switching Fabrics. Outline Physical Interconnection Physical box with backplane Individual blades plug into backplane slots Each blade contains.
1 Multi-Core Debug Platform for NoC-Based Systems Shan Tang and Qiang Xu EDA&Testing Laboratory.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
EE 122: Router Design Kevin Lai September 25, 2002.
Network-on-Chip Examples System-on-Chip Group, CSE-IMM, DTU.
1 Evgeny Bolotin – ICECS 2004 Automatic Hardware-Efficient SoC Integration by QoS Network on Chip Electrical Engineering Department, Technion, Haifa, Israel.
Architecture and Routing for NoC-based FPGA Israel Cidon* *joint work with Roman Gindin and Idit Keidar.
Router modeling using Ptolemy Xuanming Dong and Amit Mahajan May 15, 2002 EE290N.
Network-on-Chip: Communication Synthesis Department of Computer Science Texas A&M University.
WAN Technologies.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
High Performance Embedded Computing © 2007 Elsevier Lecture 16: Interconnection Networks Embedded Computing Systems Mikko Lipasti, adapted from M. Schulte.
NETWORK-ON-CHIP (NOC): A New SoC Paradigm
On-Chip Networks and Testing
Physical Planning for the Architectural Exploration of Large-Scale Chip Multiprocessors Javier de San Pedro, Nikita Nikitin, Jordi Cortadella and Jordi.
Introduction to Interconnection Networks. Introduction to Interconnection network Digital systems(DS) are pervasive in modern society. Digital computers.
ECE 526 – Network Processing Systems Design Network Processor Architecture and Scalability Chapter 13,14: D. E. Comer.
Design, Synthesis and Test of Network on Chips
Operating System 4 THREADS, SMP AND MICROKERNELS
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
ATM SWITCHING. SWITCHING A Switch is a network element that transfer packet from Input port to output port. A Switch is a network element that transfer.
Dynamic Interconnect Lecture 5. COEN Multistage Network--Omega Network Motivation: simulate crossbar network but with fewer links Components: –N.
TEMPLATE DESIGN © Hardware Design, Synthesis, and Verification of a Multicore Communication API Ben Meakin, Ganesh Gopalakrishnan.
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
Anshul Kumar, CSE IITD CSL718 : Multiprocessors Interconnection Mechanisms Performance Models 20 th April, 2006.
Copyright © 2009 Pearson Education, Inc. Publishing as Pearson Addison-Wesley Principles of Parallel Programming First Edition by Calvin Lin Lawrence Snyder.
CS 8501 Networks-on-Chip (NoCs) Lukasz Szafaryn 15 FEB 10.
50 th Annual Allerton Conference, 2012 On the Capacity of Bufferless Networks-on-Chip Alex Shpiner, Erez Kantor, Pu Li, Israel Cidon and Isaac Keslassy.
RF network in SoC1 SoC Test Architecture with RF/Wireless Connectivity 1. D. Zhao, S. Upadhyaya, M. Margala, “A new SoC test architecture with RF/wireless.
Veronica Eyo Sharvari Joshi. System on chip Overview Transition from Ad hoc System On Chip design to Platform based design Partitioning the communication.
Anshul Kumar, CSE IITD ECE729 : Advanced Computer Architecture Lecture 27, 28: Interconnection Mechanisms In Multiprocessors 29 th, 31 st March, 2010.
Case Study: The Abacus Switch CS Goals and Considerations Handles cell relay (fixed-size packets) Can be modified to handle variable-sized packets.
Axel Jantsch 1 NOCARC Network on Chip Architecture KTH, VTT Nokia, Ericsson, Spirea TEKES, Vinnova.
Wrapper/TAM Optimization1 System-on-Chip (SoC) Testing SoC Wrapper/TAM Design.
Axel Jantsch 1 Networks on Chip Axel Jantsch 1 Shashi Kumar 1, Juha-Pekka Soininen 2, Martti Forsell 2, Mikael Millberg 1, Johnny Öberg 1, Kari Tiensurjä.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Run-time Adaptive on-chip Communication Scheme 林孟諭 Dept. of Electrical Engineering National Cheng Kung University Tainan, Taiwan, R.O.C.
1 Presenter: Min Yu,Lo 2015/12/21 Kumar, S.; Jantsch, A.; Soininen, J.-P.; Forsell, M.; Millberg, M.; Oberg, J.; Tiensyrja, K.; Hemani, A. VLSI, 2002.
Interconnect Networks Basics. Generic parallel/distributed system architecture On-chip interconnects (manycore processor) Off-chip interconnects (clusters.
1 Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab Instructor: Evgeny Fiksman Students: Meir.
ECE 720T5 Fall 2012 Cyber-Physical Systems Rodolfo Pellizzoni.
Network On Chip Cache Coherency Final presentation – Part A Students: Zemer Tzach Kalifon Ethan Kalifon Ethan Instructor: Walter Isaschar Instructor: Walter.
Univ. of TehranIntroduction to Computer Network1 An Introduction to Computer Networks University of Tehran Dept. of EE and Computer Engineering By: Dr.
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
WAN Technologies. 2 Large Spans and Wide Area Networks MAN networks: Have not been commercially successful.
Distributed Sequencing for Resource Sharing in Multi-Applicative Heterogeneous NoC Platforms 林鼎原 Department of Electrical Engineering National Cheng Kung.
Network layer (addendum) Slides adapted from material by Nick McKeown and Kevin Lai.
Topics SRAM-based FPGA fabrics: Xilinx. Altera..
ESE532: System-on-a-Chip Architecture
Addressing: Router Design
Bridges and Extended LANs
EE 122: Lecture 7 Ion Stoica September 18, 2001.
Network-on-Chip Programmable Platform in Versal™ ACAP Architecture
Packet Switch Architectures
Presentation transcript:

Ob-Chip Networks and Testing1 On-Chip Networks and Testing-II

Ob-Chip Networks and Testing2 Aethereal NoC as Communication Fabric for SoC 1.Goosens et al., “Aetherial Network on Chip...,” IEEE D&T Magazine, Sept-Oct 2005, Vermeulen et al., “Bringing Communication Networks on a Chip: Test and Verification Implications,” IEEE Communications Magazine, Sept. 2003,

Ob-Chip Networks and Testing3 Rationale for Aethereal As SoC complexity grows, its communication infrastructure is a major concern. Expert opinion says NoCs are increasingly likely to be the choice for on-chip communication because they provide structure, modularity, and performance advantages over alternative designs Aethereal NoC is a research product from Philips to explore NoC-based SoC designs. Vermeulen et al. [2] consider an Aethereal-based SoC design for multimedia applications and discuss how it can be tested and verified.

Ob-Chip Networks and Testing4 Example SoC with Aethereal NoC The picture shows routers, network interfaces, processor, memory, and memory- interface cores, and neighbor-links.

Ob-Chip Networks and Testing5 Combined Guaranteed- Throughput (GT) and Best-Effort (BE) Router Conceptual View Hardware View

Ob-Chip Networks and Testing6 Aethereal Chip: Contention-Free Routing Contention-free routing: network of three routers (R1, R2, and R3) at slot s  2, with corresponding slot tables (T1, T2, and T3).

Ob-Chip Networks and Testing7 Default Core-Based Testing The default method assumes the cores are wrapped, according to IEEE1500 and uses dedicated TAMs to transport test data. The example shows cores tested simultaneously by 4 TAMs. Disadvantage: Wire congestion due to TAMs.

Ob-Chip Networks and Testing8 NoC Reuse Based Testing NoC blocks (routers and network interfaces) are tested first (top). If ok then NoC can be used to help test other cores (bottom). Further, because routers are identical, their test data sets can be broadcast and applied concurrently and their responses can be compared to each other for mismatches (for go/no-go testing)

Ob-Chip Networks and Testing9 Reuse-Based Test Scheduling 1.C. Aktouf, “A Complete Strategy for Testing an On-Chip Multiprocessor Architecture,” IEEE D&T, Jan-Feb 2002, C. Liu et al., “Reuse-Based Test Access and Integrated Test Scheduling for NoC,” DATE’06,

Ob-Chip Networks and Testing10 Complete Strategy Summary [1] Homogeneous fine-grain, massively parallel, message-passing, multiprocessor in 2D topology Testing in three phases: 1. Router Testing (by groups of cells) 2. RAM Block Testing (using BIST) 3. Distributed Processor Testing (using PMC model)

Ob-Chip Networks and Testing11 Multiprocessor Architecture Interconnection network on top – shading shows two cells communicating using shared buffers. Cell Structure at the bottom. Each cell consists of Processor, Memory, and Router Messages include relative x and y displacements, address, and data.

Ob-Chip Networks and Testing12 Boundary-Scan Based Testing of Routers in Groups of Cells (GCs) Basic-cell groups (top) and test-circuit configuration for external test (right)

Ob-Chip Networks and Testing13 Reuse-Based Test Access and Test Scheduling [2] Summary 2D mesh architecture similar to [1]. Each cell consists of a core and router. Progressive reuse of network resources for transporting test data Routers and cores tested concurrently

Ob-Chip Networks and Testing14 System Architecture The top picture shows a 12- node system in which a particular SoC (ITC02 benchmark d695) has been mapped to 10 available nodes. Two shaded cores are being tested using dedicated paths from external inputs to the core an from the core to an external output The paper compares results to those in [1] that uses the GC approach (bottom) to testing routers first. 1x1 groups 2x2 groups

Ob-Chip Networks and Testing15 Progressive Scheduling for Router Testing Constraint: Only pretested routers can be reused for test-data transport, hence need for scheduling Test responses are assumed to be processed on-chip or compressed and transported off-chip through dedicated paths The picture shows 2x2 I/O, numbers represent groups of cells that can be tested simultaneously, in increasing order of group numbers. Even though only 10 cells are occupied, for testing all 12 must be tested. Only the router-under-test is in test mode, others on the path are in normal mode. Multicast networkUnicast network

Ob-Chip Networks and Testing16 On-Chip Test Response Processing Using MISRsUsing Comparators

Ob-Chip Networks and Testing17 Integrated Test Scheduling Consider the unicast network scheduling example from before: Assume both bottom row routers have been tested in steps 1, 2, and 3. We can reuse Input 2 and Output 2 to test bottom-row cores; at the same time we can reuse Input1 and Output 1 to test routers in group 4. The paper gives an algorithm for scheduling such integrated testing

Ob-Chip Networks and Testing18 A Sample of Results Col 2: Boundary-scan results from [1] assuming all routers are tested simultaneously - only router testing times are shown Col 3: router testing time when network is reused (Savings over Col 2) Col 4: Test bus results from TAM co-optimization by Iyengar et al. (DATE 2002) – discussed earlier. Col 5: Routers and cores test separately Col 6: Integrated testing (savings over Col 5)

Ob-Chip Networks and Testing19 Conclusion Testing research on NoC-based SoC is still in infancy. Approaches are highly dependent on assumptions about the system and NoC architectures, hence hard to compare against each other. ITRS projections, however, show that NoC is likely to be the dominant communication mechanism of the future SoC, hence this is good field of research to get into.

Ob-Chip Networks and Testing20 Bibliography Goosens et al., “Aetherial Network on Chip...,” IEEE D&T Magazine, Sept-Oct 2005, Vermeulen et al., “Bringing Communication Networks on a Chip: Test and Verification Implications,” IEEE Communications Magazine, Sept. 2003, C. Liu et al., “Reuse-Based Test Access and Integrated Test Scheduling for NoC,” DATE’06, C. Aktouf, “A Complete Strategy for Testing an On-Chip Multiprocessor Architecture,” IEEE D&T, Jan-Feb 2002, Nahvi and Ivanov, “A Packet Switching Communication-Based TAM for SoC,” ETW01,