TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.

Slides:



Advertisements
Similar presentations
Optimal Bus Sequencing for Escape Routing in Dense PCBs H.Kong, T.Yan, M.D.F.Wong and M.M.Ozdal Department of ECE, University of Illinois at U-C ICCAD.
Advertisements

Caleb Serafy and Ankur Srivastava Dept. ECE, University of Maryland
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Yi-Lin Chuang1, Sangmin Kim2, Youngsoo Shin2, and Yao-Wen Chang National Taiwan University, Taiwan KAIST, Korea 2010 DAC.
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Natarajan Viswanathan Min Pan Chris Chu Iowa State University International Symposium on Physical Design April 6, 2005 FastPlace: An Analytical Placer.
X-Architecture Placement Based on Effective Wire Models Tung-Chieh Chen, Yi-Lin Chuang, and Yao-Wen Chang Graduate Institute of Electronics Engineering.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
National Tsing Hua University Po-Yang Hsu,Hsien-Te Chen,
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs W. H. Liu, M. S. Chang and T. C. Wang Department of Computer Science, NTHU, Taiwan.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.
Hsiu-Yu Lai Ting-Chi Wang A TPL-Friendly Legalizer for Standard Cell Based Design SASIMI ‘15.
7/15/ VLSI Placement Prof. Shiyan Hu Office: EERC 731.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Global Routing.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Block-level 3D IC Design with Through-Silicon-Via Planning Dae Hyun Kim, Rasit Onur Topaloglu, and Sung Kyu Lim Department of Electrical and Computer Engineering,
Etron Project: Placement and Routing for Chip-Package-Board Co-Design
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
Johann Knechtel, Igor L. Markov and Jens Lienig University of Michigan, EECS Department, Ann Arbor USA Dresden University of Technology, EE Department,
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 8 Lecture 8 Network Flow Based Modeling Mustafa Ozdal Computer Engineering Department,
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Ho-Lin Chang, Hsiang-Cheng Lai, Tsu-Yun Hsueh, Wei-Kai Cheng, Mely Chen Chi Department of Information and Computer Engineering, CYCU A 3D IC Designs Partitioning.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
Ping-Hung Yuh, Chia-Lin Yang, and Yao-Wen Chang
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
A SAT-Based Routing Algorithm for Cross-Referencing Biochips Ping-Hung Yuh 1, Cliff Chiung-Yu Lin 2, Tsung- Wei Huang 3, Tsung-Yi Ho 3, Chia-Lin Yang 4,
Po-Wei Lee, Chung-Wei Lin, Yao-Wen Chang, Chin-Fang Shen, Wei-Chih Tseng NTU &Synopsys An Efficient Pre-assignment Routing Algorithm for Flip-Chip Designs.
Hsing-Chih Chang Chien Hung-Chih Ou Tung-Chieh Chen Ta-Yu Kuan Yao-Wen Chang Double Patterning Lithography-Aware Analog Placement.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Yu-Wei Wu Yi-Yu Shi Sudip Roy Tsung-Yi Ho3
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
BOB-Router: A New Buffering-Aware Global Router with Over-the-Block Routing Resources Yilin Zhang1, Salim Chowdhury2 and David Z. Pan1 1 Department of.
1 NTUplace: A Partitioning Based Placement Algorithm for Large-Scale Designs Tung-Chieh Chen 1, Tien-Chang Hsu 1, Zhe-Wei Jiang 1, and Yao-Wen Chang 1,2.
System in Package and Chip-Package-Board Co-Design
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
VLSI Physical Design Automation
VLSI Quadratic Placement
EE5780 Advanced VLSI Computer-Aided Design
Presentation transcript:

TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011

Outline Introduction Previous works and Contributions Problem formulation and analytical placement TSV-aware 3D analytical global placement TSV insertion and TSV-aware legalization Experimental results and Conclusions

Introduction 3D IC technology can effectively reduce global interconnect length and increase circuit performance. In a generic 3D IC structure, each die is stacked on top of another and communicated by Through-Silicon Vias (TSVs).

Introduction (cont.) TSV pitches are very large compared to the sizes of regular metal wires under current technology. Moreover, TSVs are usually placed at the white space.  Routing resource, chip area, yield, etc. are affected.

Schematic view of placement

Example of placement

If the TSVs are not well considered…

Previous works [9] folding/stacking with layer re- assignment. [11] use partitioning-based approach. [7] is multi-level analytical placement and cell could move along z-direction. [15] partition cell first, then do placement for each layer.

Contributions New 3D placement algorithm consists of three stages that takes sizes and positions of TSVs into account. Weighted-average wirelength model with smaller estimation errors than Log-sum-exp (LSE) model. Density cube to model the density.

Contributions (cont.) Not only handles the TSV count but also handles the size of TSVs. A TSV insertion algorithm based on the overlapping whitespace area between neighboring layers is proposed to determine the location of each TSV. Routing can be easily accomplished. Moreover, the proposed algorithm achieves best comparing with [7,15].

Problem formulation Given a placement region and the number of device layers k, we intend to determine the optimal positions of movable blocks so that the total wirelength and the number of required TSVs are minimized while satisfying the non-overlapping constraints among blocks and TSVs. Inputs  as the set of n blocks.  as the set of m nets.  Placement region definitions with k device layers.  Density constraints, TSV size. Outputs  The location of each block and TSVs (layer and coordinates) without constraint violation. The netlist should be updated.

Traditional placement flow Global placement: Find the best position and layer for each block to minimize the target cost. Legalization: Remove overlaps. Detail placement: Refines the placement solution.

Analytical placement Optimize the target of placement by mathematical way.  Linear programming (LP), Quadratic programming (QP), etc. Key: How to model and how to solve.

Proposed TSV-aware 3D analytical placement flow

3D analytical global placement The 3D analytical global placement problem can be formulated as a constrained optimization problem as follows:

Wirelength and TSV model The wirelength W(x, y) is defined as the total half-perimeter wirelength (HPWL). The number of TSVs used for each net could be approximated by the number of layers it spans.

Wirelength and TSV model (cont.) The above equations is not differentiable.  Need differentiable one to approximate. Log-sum-exp (LSE) model The LSE wirelength is close to the HPWL when γ approaches to zero.  In fact, γ cannot be too small or else overflow occurs => error is inevitable.

Proposed weighted-average (WA) wirelength model Weighted-average In order to approximate maximum, the following function is used. Hence, the WA model will be:

Estimation error comparisons

Density cube model The density of a cube b of layer k can be defined as: Px, Py, and Pz are the overlap computing functions along three dimensions.

White space reservation for TSVs Assume that the communication between neighboring layers of a net is through one TSV. Distribute required spaces for TSVs into density cubes inside the net-box evenly.  Net-box: the range spanned by a net.

Transform to unconstrained problem Solve a sequence of unconstrained problem with increasing λ.

TSV insertion and TSV-aware legalization Three-step scheme  Layer-by-layer standard cell legalization  TSV insertion  TSV-aware legalization Layer-by-layer standard cell legalization  Minimum cell displacement without considering TSVs.  Just like traditional legalization.

TSV insertion and TSV-aware legalization (cont.) TSV insertion  Decompose each net to 2-pin nets by MST.  Start from the 2-pin net with the smallest net-box to the largest one.  Divide the region enclosed by net-box into bins, and insert TSV into the overlapping white space bin with minimized overlap between cells and TSVs.  If there is not enough white space in the net-box, the searched region is doubled, and the search process continues.

Decompose each net to 2-pin nets by MST Project cells to a single layer, then compute edge cost by β*L(e)+δ*Z(e).

TSV insertion and TSV-aware legalization (cont.) TSV-aware legalization  Apply step1 and set TSVs as fixed blocks.

Experimental results Environment  PC workstation  8x Xeon 2.5 GHz CPUs  26 GB memory  Implemented using C++ Integrated into NTUplace3 α,β, and γ are set to 10, 0.4, and 0.6 respectively.

3D analytical placement comparisons 4-layer 3D IC, area of each layer is (original area)/4 and then enlarge to get 10% white space.

TSV-aware placement comparisons

White space reservation comparisons

Example

Wirelength model comparisons

Conclusions Proposes a new TSV-aware placement algorithm for 3D design. Weighted-average wirelength model. White space reservation for TSV insertion. Routing could easily be done by 2D routers, and the algorithm achieves the best result among [7,15].