1 2003 ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

4 December 2002, ITRS 2002 Update Conference 2002 ITRS Factory Integration ITWG Michio Honma, NEC Jeff Pettinato, Intel.
2001 ITRS Factory Integration ITWG
ITRS Factory Integation TWG
ITRS Factory Integation TWG
Assembly and Packaging TWG
Supporting New Business Imperatives Creating a Framework for Interoperable Media Services (FIMS)
ITRS Factory Integration Presentation
JUNE 2007 page 1 EDS Proprietary Applications Modernization Services Modernizing the Applications Portfolio.
Tom Standish Group President & COO Regulated Operations CenterPoint Energy Visions of the Smart Grid: Deconstructing the traditional utility to build the.
1 Cleared for Open Publication July 30, S-2144 P148/MAPLD 2004 Rea MAPLD 148:"Is Scaling the Correct Approach for Radiation Hardened Conversions.
© 2014 wheresjenny.com Dock management DOCK MANAGEMENT.
Accelerating Productization. Functional Metrology TM Challenges of Semiconductor Productization Leading IDM’s Solution Novel Solution -> In-product Functional.
VMware Virtualization Last Update Copyright Kenneth M. Chipps Ph.D.
Class 6: Chapter 4 : Product/Process Innovation
T h e Y i e l d M a n a g e m e n t C o m p a n y Service Business Rich Ruiz Director, Global Provisioning GSS Service Supply Chain Mgmt.
Globalization of Markets : - Some Trends and Implications for Norwegian Industry Arild Aspelund, PhD.
Copyright © 2009 Accenture All Rights Reserved. 1 Copyright © 2008 Accenture All Rights Reserved. Client background This client is one of the world's largest.
DRIVING INNOVATION AND ABILITY TO COMPETE THROUGH OUTSOURCING Anthony (Tony) C. Bernardo, Alloy Polymers Inc. NPE 2003 bernardo:
Copyright © 2014 McGraw-Hill Higher Education. All rights reserved. CHAPTER 4 Product/Process Innovation McGraw-Hill/Irwin.
CONTINUATION FROM LAST TUESDAY
© 2007 IBM Corporation IBM Global Financing February 2007 An Introduction to IBM Global Financing for Software and Services.
Private Cloud: Application Transformation Business Priorities Presentation.
Strategies for a Successful Core Banking Implementation -    The roll out of FLEXCUBE at Indovina Bank, Vietnam Mr. Phan Dao Vu First Deputy General Director.
VAP What is a Virtual Application ? A virtual application is an application that has been optimized to run on virtual infrastructure. The application software.
Institute for Manufacturing Manufacturing and Innovation Prof. M.J. Gregory.
Year 12 Business Studies Operations REVIEW.
Competitive Differentiation: Using Technology to Deliver on Staples EASY Brand Promise Scott Floeck, Sr. Vice President, Staples, Inc.
INTERNATIONAL MARKETING MANAGEMENT
Planning Production of a Set of Semiconductor Components with Uncertain Wafer and Component Yield Frank W. Ciarallo Assistant Professor Biomedical, Industrial.
Meeting the Data Protection Demands of a 24x7 Economy Steve Morihiro VP, Programs & Technology Quantum Storage Solutions Group
VLSIRESEARCH.comVLSIRESEARCH.com WeSRCH.com CHIPHISTORY.org CHIPHISTORY.org Copyright © 2008 VLSI Research Inc. All rights reserved. Reprinted with permission.
Managing Pre-Technological Knowledge: A Multi-Dimensional Approach Charles Weber INFORMS Meeting, Pittsburgh, PA November 6, 2006.
THE ALTA INVITATIONAL Resetting A Company To The New Normal.
Southwold Enterprises Co.,Ltd. An outsourcing partner committed to your company.
MANISH GUPTA. Presentation Outline Introduction Motivation Content Expected Impact Funding Schemes & Budget.
Product/Process Innovation CHAPTER FOUR McGraw-Hill/Irwin Copyright © 2011 by the McGraw-Hill Companies, Inc. All rights reserved.
PLM outside the box: Operational complexity, not product complexity! Pier Manenti | Head of IDC Manufacturing Insights, EMEA.
Oracle Engineering & Construction Solution Created Specifically to Address Your Challenges Operational Challenges … … … … … Oracle’s Solution Streamline.
Main Function of SCM (Part I)
Lalit Panda, SVP SC and IT, Harman Consumer Group, Inc. Consumer Returns Oct 28 th 2008, Atlanta Making a Business Case for IT Investements in Reverse.
DESIGN OF PRODUCTS AND SERVICES Chapter Three Copyright © 2014 by The McGraw-Hill Companies, Inc. All rights reserved. McGraw-Hill/Irwin.
Talent Acquisition, Staffing, Recruitment, Executive Search.
Demand to Deliver - Value Chain Innovation Oracle High Tech Summit, May 10 th, 2011 Jon S. Chorley Vice President, Supply Chain Applications.
Logistics.
References: Supply Chain Saves the World. Boston, MA: AMR Research (2006); Designing and Managing the Supply Chain – Concepts, Strategies and Case Studies;
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Global High-k and ALD/CVD Metal Precursor Market to grow at 7.8% CAGR from.
Extreme Scale Infrastructure
eQMS Implementation at CoorsTek Medical
HP SmartStream Production Center
Fonterra Supply Chain Now and in the future 8th August 2016
Healthy planet = higher profits HP’s approach to sustainability
CIM Modeling for E&U - (Short Version)
Team Name: OCD Solutions
Critical Factors in Managing Technology
Automated Live Workload Migration to vCloud Air with ATAmotion
Dynamics 365 for Finance and Operations – Elevator Pitch
Capacity Planning For Products and Services
Capacity Planning For Products and Services
ITRS 2003 Factory Integration Chapter Backup Material
Operations Management Introduction to operations Management 1.
Cloud Consulting Services and Solutions
Supply Chain Transformation Highlights
Stevenson 5 Capacity Planning.
Nenad Stefanovic and Danijela Milosevic
TCM TOOLS & TECHNIQUES.
ITRS 2001 Factory Integration Chapter Model Information
Production and Operations Management
Capacity Planning For Products and Services
while introducing and de-risking new technologies in parallel
Presentation transcript:

ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003

2 Difficult Challenges Summary Near Term: 2003 to 2009 >45nm 1.Responding to rapidly changing and complex business requirements [E] 2.Meeting growth targets while margins are declining [E] 3.Managing ever increasing factory complexity [M] 4.Meeting factory and equipment reliability, capability or productivity requirements per the roadmap [M] 5.Meeting the Flexibility, Extendibility, and Scalability needs of a cost effective, leading edge factory [M] 6.Meeting process requirements at 65nm and 45nm nodes running production volumes [P] 7.Increasing global restrictions on environmental issues [E] Long Term: 2012 to 2018 <45nm 1.Post conventional CMOS manufacturing uncertainty [P] 2.Next Wafer Size and Emerging factory paradigm changes [M] Economic and business challenges are equal to our manufacturing and process technology challenges in scope and breadth E=Economic/Business P=Process Technology M=Manufacturing

3 Near Term Difficult Challenges (1 of 7) > 45nm; 2003 to 2009  Responding to rapidly changing, complex business requirements Many new and co-existing business models including IDM, Foundry, Joint Ventures, Collaborations, other Outsourcing, etc Increased expectations by customers for faster delivery of new products and volume products  Need for improve integration of the entire product design and manufacturing process  Faster design  prototype and prototype  volume production  Better visibility of customers products to them within the manufacturing process Reduced time to ramp factories, products, and processes to stay competitive within the rapidly changing business environment Building 30+ mask layer SoC with long TPT’s in an environment of rapidly changing and uncertain needs. Rapid and frequent factory plan changes driven by changing business needs Ability to model factory performance so that output can be optimized Ability to constantly adjust equipment loading to keep the factory profitable Need to run globally disparate factories as single “virtual factory”

4 Near Term Difficult Challenges (2 of 7) > 45nm; 2003 to 2009  Achieving growth targets while margins are declining Implications of rising wafer, packaging, and other materials cost on meeting cost targets Meeting high factory yield much faster at startup Addressing increased complexity while keeping costs in check Reducing the complexity of supply chain in order to reduce waste Inefficiency in non-product wafers (NPW) usage for new products and running the factory for volume production High cost and cycle time of mask sets for manufacturers impacting affordability of new product designs Increasing dedication of masks and equipment causing manufacturing inefficiencies Challenges introduced with sharing of mask sets Difficulty maintaining the historical 0.7x transistor shrink per year for die size and cost efficiency

5 Near Term Difficult Challenges (3 of 7) > 45nm; 2003 to 2009  Managing ever increasing factory complexity Quickly and effectively integrating rapid changes in process technologies Managing carriers with multiple lots, wafers with multiple products, or multiple package form factors Comprehend increased purity requirements for process and materials Need to run aluminum and copper back end in the same factory Increasing number of processing steps coupled with process and product complexity Need to concurrently manage new and legacy software systems and systems with increasingly high interdependencies Explosive growth of data collection/analysis requirements driven by process and modeling needs Increased requirements for wafer level tracking and die level tracking

6 Near Term Difficult Challenges (4 of 7) > 45nm; 2003 to 2009  Meeting factory and equipment reliability, capability or productivity requirements per the roadmap Process equipment not meeting availability, run rate, and utilization targets out of the box Standalone and Integrated Reliability (equipment, systems) required to keep factories operating Increased impacts that single points of failure have on a highly integrated and complex factory Quality issues with production equipment embedded controllers Lack of good data to measure equipment and factory effectiveness for optimization and improvement programs Factory capacity planning and supply chain management systems are not continuously base lined with actual factory data creating errors Lack of migration paths which inhibit movement from old inefficient systems to new highly productive systems

7 Near Term Difficult Challenges (5 of 7) > 45nm; 2003 to 2009  Meeting the Flexibility, Extendibility, and Scalability needs of a cost effective, leading edge factory Need to quickly convert factories to new process technologies while reusing equipment, facilities, and skills Minimizing downtime to on-going operations while converting factories to new technologies Scalability implications to meet large 300mm factory needs [50k wspm] Continued need to improve both throughput and cycle time Reuse of building, production and support equipment, and factory information and control systems across multiple technology nodes Understand up-front costs to incorporate EFS Ability to convert 200mm facilities to 300mm wafer size Comprehend increased purity requirements for process and materials Accelerating the pace of standardization to meet industry needs

8 Near Term Difficult Challenges (6 of 7) > 45nm; 2003 to 2009  Meeting process requirements at 65nm and 45nm nodes running production volumes Smaller process windows and tighter targets at 65nm and 45nm nodes in many process modules make process control increasingly difficult Complexity of integrating next generation lithography equipment into the factory Overall development and volume production timelines continue to shrink Device and process complexity make the ability to trace functional problems to specific process areas more difficult Ability to run different process parameters for each wafer while maintaining control windows and cycle time goals - this is a solution to #1 Reducing the impacts of parametric variation

9 Near Term Difficult Challenges (7 of 7) > 45nm; 2003 to 2009  Increasing global restrictions on environmental issues Need to meet regulations in different geographical areas Need to meet technology restrictions in some countries while still meeting business needs Comprehend tighter ESH/Code requirements Lead free and other chemical and materials restrictions New material introduction

10 Long Term Difficult Challenges (1 of 2) < 45nm; 2012 to 2018  Post Conventional CMOS Manufacturing Uncertainty Uncertainty of novel device types replacing conventional CMOS and the impact its manufacturing requirements will have on the Factory design Timing uncertainty to identify new devices, create process technologies, and design factories in time for a low risk industry transition Potential difficulty to maintain an equivalent 0.7x transistor shrink per year for die size and cost efficiency Need to run CMOS and post CMOS processes in the same factory

11 Long Term Difficult Challenges (2 of 2) < 45nm; 2012 to 2018  Emerging factory paradigm changes Uncertainty on the next wafer size [450mm] and the conversion timing Traditional strategies to scale wafers and carriers for the next wafer size conversion may not work with [450mm] 25 wafer carriers and drive significant production equipment and material handling changes Uncertainty in how to reuse buildings, equipment, and systems to enable the next wafer size conversion [to 450 mm] at an affordable cost