1CADENCE DESIGN SYSTEMS, INC. Cadence Proposed Transaction Level Interface Enhancements for SCE-MI SEPTEMBER 11, 2003.

Slides:



Advertisements
Similar presentations
Presenter : Shao-Chieh Hou VLSI Design, Automation and Test, VLSI-DAT 2007.
Advertisements

1 System Level Verification of OCP-IP based SoCs using OCP-IP eVC Himanshu Rawal eInfochips, Inc.,4655 Old Ironsides Drive, Suite 385,Santa Clara, CA
ECOE 560 Design Methodologies and Tools for Software/Hardware Systems Spring 2004 Serdar Taşıran.
1 of 24 The new way for FPGA & ASIC development © GE-Research.
by Adiel Khan Staff CAE Synopsys
February 28 – March 3, 2011 Stepwise Refinement and Reuse: The Key to ESL Ashok B. Mehta Senior Manager (DTP/SJDMP) TSMC Technology, Inc. Mark Glasser.
A Breakthrough Common Emulation API 15th November 2001 SCE-API.
Workshop - November Toulouse Paul Brelet TRT Modeling of a smart camera systems 24/11/
A2T: automatic abstraction from RTL to TLM IPs. 2 Outline HIFSuite overview Motivation for abstraction Abstraction techniques Tool features Tested benchmarks.
Requirements for the Next Version of SCE-API. 2 5/14/ :32 PM Overview l Basic Requirements meet SCE-MI 1.0 requirements backwards compatibility.
LOGO HW/SW Co-Verification -- Mentor Graphics® Seamless CVE By: Getao Liang March, 2006.
Puneet Arora ESCUG, 09 Abstraction Levels in SoC Modelling.
Universal Verification Methodology (UVM) Benefits Mustafa Khairallah Boost Valley Boost Valley Consulting 1.
Maintaining Consistency Between SystemC and RTL System Designs Presenter: Christopher Lennard, PhD. Authors: ARM - Alistair Bruce, Andrew Nightingale,
Transaction Level Modeling with SystemC Adviser :陳少傑 教授 Member :王啟欣 P Member :陳嘉雄 R Member :林振民 P
GALAXY Project Final project review IHP, February 4th 2011 Tools Demonstration Dr Lilian Janin, Dr Doug Edwards - University of Manchester.
February 25, 2009Chaitanya: MEE Project Defense1 Fully configurable hierarchical transaction level verifier for functional verification Master’s Defense.
Usage of System C Marco Steffan Overview Standard Existing Tools Companies using SystemC.
Software Reuse Building software from reusable components Objectives
Protocols and the TCP/IP Suite
Embedded Architecture Description Language Qiang Liu School of Software, Tshinghua University Joint work with Juncao Li, Nick Pilkington, and Fei Xie Dept.
Creating Test Environments HDL Model HDL Testbench Simulation Engine API stimulus check Testbench Program stimulus check Non-HDL languages may be used.
Matlab as a Design Environment for Wireless ASIC Design June 16, 2005 Erik Lindskog Beceem Communications, Inc.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
Embedded Systems Design at Mentor. Platform Express Drag and Drop Design in Minutes IP Described In XML Databook s Simple System Diagrams represent complex.
© 2011 Xilinx, Inc. All Rights Reserved Intro to System Generator This material exempt per Department of Commerce license exception TSU.
VerificationTechniques for Macro Blocks (IP) Overview Inspection as Verification Adversarial Testing Testbench Design Timing Verification.
© Copyright Alvarion Ltd. Hardware Acceleration February 2006.
Role of Standards in TLM driven D&V Methodology
The Pursuit for Efficient S/C Design The Stanford Small Sat Challenge: –Learn system engineering processes –Design, build, test, and fly a CubeSat project.
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
Institut für Computertechnik ICT Institute of Computer Technology Interaction of SystemC AMS Extensions with TLM 2.0 Markus Damm, Christoph.
Workshop - November Toulouse Ronan LUCAS - Magillem Design Services 07/04/2011.
Protocol Architectures. Simple Protocol Architecture Not an actual architecture, but a model for how they work Similar to “pseudocode,” used for teaching.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
SystemC: A Complete Digital System Modeling Language: A Case Study Reni Rambus Inc.
1 Integration Verification: Re-Create or Re-Use? Nick Gatherer Trident Digital Systems.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
1 Extending FPGA Verification Through The PLI Charles Howard Senior Research Engineer Southwest Research Institute San Antonio, Texas (210)
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Jon Perez, Mikel Azkarate-askasua, Antonio Perez
© 2012 xtUML.org Bill Chown – Mentor Graphics Model Driven Engineering.
Languages for HW and SW Development Ondrej Cevan.
Quality Driven SystemC Design By Nasir Mahmood. Hybrid Approach The idea here is to combine the strengths of simulation – namely the ability to handle.
TTCN-3 MOST Challenges Maria Teodorescu
Boost Verification Results by Bridging the Hw/Sw Testbench Gap by Matthew Ballance Verification Technologist Mentor Graphics.
Winter-Spring 2001Codesign of Embedded Systems1 Methodology for HW/SW Co-verification in SystemC Part of HW/SW Codesign of Embedded Systems Course (CE.
Enhanced Storage Architecture
MODUS Project FP7- SME – , Eclipse Conference Toulouse, May 6 th 2013 Page 1 MODUS Project FP Methodology and Supporting Toolset Advancing.
1 Extending FPGA Verification Through The PLI Charles Howard Senior Research Engineer Southwest Research Institute San Antonio, Texas (210)
A Design and Verification Company Zaiq’s Transaction API Proposal v2.
1 Hardware/Software Co-Design Final Project Emulation on Distributed Simulation Co-Verification System 陳少傑 教授 R 黃鼎鈞 R 尤建智 R 林語亭.
SCE-MI Meeting 1 San Jose’, 14 th Nov Author: Andrea Castelnuovo SCE-MI Integrating Emulation in a system level design methodology San Jose’, 14/11/2003.
Discussion of ITC Goals. Historical Goals From SCE-API Marketing presentation Circa 2001.
Presenter : Shao-Chieh Hou 2012/8/27 Second ACM/IEEE International Symposium on Networks-on-Chip IEEE computer society.
Teaching The Principles Of System Design, Platform Development and Hardware Acceleration Tim Kranich
Way beyond fast © 2002 Axis Systems, Inc. CONFIDENTIAL Axis Common Transaction Interface (CTI) Architecture Highlights 9/11/2003 Ching-Ping Chou Axis Systems,
Discussion of ITC Goals. Historical Goals From SCE-API Marketing presentation Circa 2001.
Abstraction :Managing Design Complexity through High-Level C-Model Verification Mike Andrews Mentor Graphics Yuan-Shiu Chen present.
Exploiting Architecture For Verification Dave Whipp.
Discussion of ITC Goals. Historical Goals From SCE-API Marketing presentation Circa 2001.
DPI+ Proposals John Stickley, Duaine Pryor Mentor Emulation Division.
1 Memory Test - Debugging Test Vectors Without ATE Steve Westfall Director Visual Testbench Engineering Summit Design Inc.
1 of 24 The new way for FPGA & ASIC development © GE-Research.
Presenter: Yi-Ting Chung Fast and Scalable Hybrid Functional Verification and Debug with Dynamically Reconfigurable Co- simulation.
April 15, 2013 Atul Kwatra Principal Engineer Intel Corporation Hardware/Software Co-design using SystemC/TLM – Challenges & Opportunities ISCUG ’13.
Programmable Hardware: Hardware or Software?
Teaching The Art of Verification
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
Matlab as a Design Environment for Wireless ASIC Design
Presentation transcript:

1CADENCE DESIGN SYSTEMS, INC. Cadence Proposed Transaction Level Interface Enhancements for SCE-MI SEPTEMBER 11, 2003

2 Agenda End-user goals Transaction level requirements Proposed SCE-MI enhancements

3 End-User Goal: Verification Performance Verify Large, Complex systems efficiently –Hardware assisted boosts verification performance for –large sub-systems –long-run tests (e.g. regression suite) –Transaction-based Interfaces improve performance by –infrequent transactions that reduces communications between hardware and software –allowing message level communication with smart transactors that interpret these messages in the HW

4 But Software Simulation is still Required Using abstract verification and design components –Rich functional capability –Comprehensive debug capabilities Acceleration Hardware is usually less efficient –at small sub-system verification –with interactive debug sessions Conclusion – Users are expected to start with SW simulation before moving to accelerated simulation. –Verification reuse from block/ sub-system to system is important –Maintaining congruent configurations using the same testbench is important

5 SW-based Verification Flow Componentized SW based design and verification flow contains –Abstracted Testbench – Transaction level tests and response checkers –Abstracted DUT – Abstracted Transaction Level Model (TLM) –DUT – RTL model of the Device Under Test –Transactors – Bus functional models that refine communication between abstracted testbench and DUT Abstracted Testbench Abstracted DUT (TLM) Abstracted Testbench Transactors DUT (HDL) Phase 1 (High Level Design) Phase 2 (SW-based Verification)

6 Accelerated Verification Flow Abstracted Testbench Accel DUT (HDL) Phase 3 (HW-assisted Verification) Transport C/ C++ HDL Abstracted Testbench Abstracted DUT Phase 1 (High Level Design) Abstracted Testbench Transactors (Mix C/C++/HDL) DUT (HDL) Phase 2 (SW-based Verification)

7 End-user Goal: Reusability Abstracted Testbench Abstracted DUT Abstracted Testbench Transactors (Mix C/C++/HDL) DUT (HDL) Phase 1 (High Level Design) Phase 2 (SW-based Verification) Abstracted Testbench Accel DUT (HDL) Phase 3 (HW-assisted Verification) Transport C/ C++ HDL

8 Reusability can be accomplished by Common Transaction Level Interface (TLI) that enables reuse –Same exposable TLI interfaces in SW-based and HW-assisted verification –Reusable abstracted testbench components and transactors –Congruent configurations in SW-based simulation and Emulation/Acceleration –Allows the user to switch among verification engines seamlessly Abstracted Testbench DUT (HDL) Phase 2 (SW-based Verification) Abstracted Testbench Accel DUT (HDL) Phase 3 (HW-assisted Verification) TLI C/ C++ HDL C/ C++ HDL TLI

9 Transaction Level Requirements Transaction definition –Generic, arbitrarily-sized hierarchical transaction payload Common transaction-level interface definition –Basic input/output transaction interface –Signal-level definition for HDL part of the transactors –Corresponding C/C++ interface for the abstracted part of the transactors communicating with the corresponding HDL part TLI must be independent of verification engine technology. –The HDL part of the transactors can be implemented using a simple FSM –The abstracted part of the transactors can use any HLL that can interface with a simple C/C++ API

10 SCE-MI 1.0 Key Drawbacks Variable-Length Transaction handling must be (re-)coded for all ‘hardware side’ components Precludes transactor re-use between event-based and cycle-based engines by –exposing ‘uncontrolled clock’ to end-user –assuming underlying cycle-based emulation/simulation technology Abstracted Testbench DUT (HDL) Phase 2 SW (event-based) TLI C/ C++ HDL TLI Abstracted Testbench Accel DUT (HDL) Phase 3 Accel (TLI) C/ C++ HDL Abstracted Testbench Accel DUT (HDL) Phase 3 Accel (SCE-MI) C/ C++ HDL SCE-MI 1.0

11 TLI Proposal will provide a complete Transaction-Level Interface for robust, variable length transaction processing decouple the HDL API from the underlying cycle-based simulation/emulation system architecture –Remove the uncontrolled clock mechanism from the public interface of the API provide a low-level engine independent transactional transport API in C/C++ –Facilitates transaction-level integration of emulation with diverse high level software engines (Pure C/C++, SystemC, System Verilog, PLi, etc.) C/C++ HDL TLI Transactor HLL Signals