Fast & Furious: Taming the Challenges of Advanced-Node Design Anirudh Devgan, Senior Vice President, Digital & Signoff Group.

Slides:



Advertisements
Similar presentations
Manage your technology for optimal return on investment (ROI) The Tivoli ® Configuration & Operations management solution from IBM.
Advertisements

18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
Embedded System Lab. What is an embedded systems? An embedded system is a computer system designed for specific control functions within a larger system,
TSMC Presentation by: David Bruns Jamie Runge Joe Peterson.
Timing Constraints: Are they constraining designs or designers? Subramanyam Sripada Synopsys Inc 3/13/2015.
Industrial Technologies Tom Togsverd 21June 12 Competitiveness through Digitalization New Technology Framework Tom Togsverd Director General, Danish ICT.
Lowest power 1.8 V audio codec with integrated miniDSP in production today Luca Cacioli Audio Product Marketing Embargoed Until Oct. 27, 2008.
Voltus IC Power Integrity Solution Break-through in Power Signoff
Unrestricted © Siemens AG All rights reserved. The Digital Factory – Enabling a Holistic Approach to Automation MIT Industrial IoT Workshop – October.
B.Eng (Hons) & B.Sc (Hons) Computer and Electronic Systems Engineering 1.
Foundry Certified Cadence DFM Turnkey Service Signoff Seminar November 2013 Rudy Mason- Senior Staff Application Engineer – VCAD.
The Future of Formal: Academic, IC, EDA, and Software Perspectives Ziyad Hanna VP of Research and Chief Architect Jasper Design Automation Ziyad Hanna.
Digital Video & Audio Broadcasting Test and Measurement Solutions
May l Washington, DC l Omni Shoreham SaaS Market Opportunities Jerry Champlin Chief Executive Officer Absolute Performance Inc. Enabling Today’s.
Detailed Technical Feature Presentation Background Information The Importance of Software Software Roadblocks Development Environment DSP Development Cycle.
Genelco Software Solutions © 2007 IBM Corporation “Innovations In Action” Awards.
Thriving in a Hybrid World Dean J. Marsh Vice President, Client Success IBM Analytic Solutions.
Hitendra Divecha Sr. Product Marketing Manager SSV Summit November 21 st, 2013 QRC Extraction.
Manoj Chacko Director Product Management Signoff Summit November 21, 2003 Physical Signoff with Cadence PVS.
1 VLSI and Computer Architecture Trends ECE 25 Fall 2012.
February 2011 Scott A. Snyder, PhD President, Breakthru Advisors Senior Fellow, The Wharton School ©Scott A. Snyder 2011 (610)
Purpose: These slides are for use with customers by the Microsoft Dynamics NAV sales force and partners. How to use: Add these slides to the core customer.
© 2003 Universal Business Matrix, LLC. All rights reserved. XBRL Considerations for CPAs & CAs in Public Practice XBRL for Audit Workpapers.
3G Single Core Modem A New Telecommunications Device Group 4: Warren Irwin, Austin Beam, Amanda Medlin, Rob Westerman, Brittany Deardian.
1 High-Level Carrier Requirements for Cross Layer Optimization Dave McDysan Verizon.
Interference Cancellation as a Mobile Enhancement to Improve Spectral Efficiency IEEE ComSoc Denver Chapter January 16, 2007.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
XELTEK Committed to Excellence. Company Overview Founded in 1991 Industry Leader: –Cost effective programming solutions for memory, µC and PLD –Programming.
Active Packaging: Power Management for Nanoprocessors Raj Nair, ComLSI Inc. Presented to the First AZ Nanotechnology Symposium March 16, 2006.
Marty Sanders, VP of Americas Economic Benefits of Deploying Disruptive Hyperconvergence Technology September 2015.
IEEE Central Texas Section CEDA Chapter CEDA Chapter l The petition to form the CEDA chapter was submitted on Dec, 31, 2011 and the chapter was approved.
Parallel and Distributed Simulation Introduction and Motivation.
PRESENTED BY, SARANYA , GAYATHRI, II ECE-B.
Accelicon Confidential 1 Accelicon Overview MOS-AK April 4, 2008 Tim K Smith Accelicon Technologies.
SSV Summit November 2013 Cadence Tempus™ Timing Signoff Solution.
The Real Time Initiative Laying the foundation for a Real Time Enterprise Internet Telephony Conference & EXPO October 14, 2003.
- 1 - ©2009 Jasper Design Automation ©2009 Jasper Design Automation JasperGold for Targeted ROI JasperGold solutions portfolio delivers competitive.
Axel Jantsch 1 Networks on Chip Axel Jantsch 1 Shashi Kumar 1, Juha-Pekka Soininen 2, Martti Forsell 2, Mikael Millberg 1, Johnny Öberg 1, Kari Tiensurjä.
Marv Adams Chief Information Officer November 29, 2001.
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
VLSI Design System-on-Chip Design
Simplifying Cloud Connectivity for Your Clients Presenter: Tom SharkeyTom Sharkey December 8,
EDA (Circuits) Overview Paul Hasler. Extent of Circuits (Analog/Digital) Analog ~ 20% of IC market since 1970 Hearing aids Automotive Biomedical Digital.
High Performance, Multi-CPU Power Signoff for Mega Designs
A Software Defined Instrumentation Approach to Set-Top-Box Testing.
May 2013 Tensilica Overview. Copyright © 2013, Tensilica, Inc. All rights reserved. 2 Tensilica At a Glance Market Focus Mobile wireless and Infotainment.
Cisco Consulting Services for Application-Centric Cloud Your Company Needs Fast IT Cisco Application-Centric Cloud Can Help.
By SPEC INFOTECH. A programming language reigning the IT industry Marking its presence around the globe Striking Features which make Java supreme: Simplistic.
Custom ICs for Radiation Detection & Imaging
I N V E N T I V EI N V E N T I V E Can innovations in Test serve as a beacon of light in a dark economy? Sanjiv Taneja VP and GM, Encounter Test.
© 2013 VCE Company, LLC. All rights reserved. Nigel Moulton : Office of the CTO EMEA Focus on Business not infrastructure.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Fuel Cell Market size worth $25.5bn by 2024Low Power Wide Area Network.
i.MX Processor Roadmap i.MX 8 family i.MX 8M family i.MX 8X family
BioSignal Analytics Inc.
Next Generation Full-chip Circuit Simulation and Analysis
Difference Between SOC (System on Chip) and Single Board Computer
Enabling machine learning in embedded systems
Microcontroller Market
Carrier Wi-Fi Market
SAP Business Transformation Study | Healthcare | Providence St
Real Number Modeling Enables Fast, Accurate Functional Verification
11/14/2018 Changing Paradigms - Fast-Turn RF and Mixed-Signal IP IEEE Annual EDP-2001 Workshop session on Analog/MS Design Flows James Spoto Enablix.
Fabrication & Assembly
Opening comments… KT Moore
Electromagnetic Crosstalk Analysis and Sign-off For Advanced Node SoCs
while introducing and de-risking new technologies in parallel
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Global Electronic Design Automation Market to hit $14 Bn by 2024: Global.
Presentation transcript:

Fast & Furious: Taming the Challenges of Advanced-Node Design Anirudh Devgan, Senior Vice President, Digital & Signoff Group

2© 2013 Anirudh Devgan. All rights reserved. By 2020 there will be over 10 billion mobile internet devices, and the core of each is a specialized semiconductor -Tablets -Smartphones -MP3 players -Gaming devices -Car electronics -Mobile video -Home entertainment -Wireless appliances Source: Morgan Stanley Semiconductors At the heart of the next technology wave Chassis Gateways Powertrain Instruments

3© 2013 Anirudh Devgan. All rights reserved. Low power important across markets – from smartphones to datacenters Time to market remains critical Feature-rich devices growing design size –Average number of IP blocks per design* –65nm: 48 IP Blocks –45/40nm: 73 IP Blocks –32/28nm 97 IP Blocks * Source: IBS Design Complexity is Increasing Complexity is growing exponentially – and signoff is the bottleneck Complexity = design size ● # views ● power ● performance ● time-to-market Mobile Computing Cloud/DatacentersConnectivity

4© 2013 Anirudh Devgan. All rights reserved. Over 50% of first tape outs in a new process slip the schedule What’s major cause? Customers Have Real Challenges How to avoid schedule delays Multi-CornerMulti-Corner Multi-ModeMulti-Mode RC Extraction Signal Integrity OCVOCV LDELDE Multi Voltage

5© 2013 Anirudh Devgan. All rights reserved. Challenges in Signoff and Design Closure More Timing Modes And Corners Multiple Clock Domains More Electrical Design Rules Timing Variation Modeling More Power Domains More Extraction Corners New Device Types More Voltage Domains Lower V DD Electrical Design Challenges Analog Effects

6© 2013 Anirudh Devgan. All rights reserved. Challenges in Signoff and Design Closure More Parasitic Devices More Physical Design Rules More Complex PV Design Rules Layout Dependent Effects Multi-patterning New Device Types Device Variation More Complex Litho / OPC Rules More Time Spent In Signoff & Design Closure At Advanced Nodes Physical Design Challenges CMP Litho 3D Devices

7© 2013 Anirudh Devgan. All rights reserved. What is the solution ?

8© 2013 Anirudh Devgan. All rights reserved. Cadence Silicon Signoff & Verification Solutions Bringing Innovation To Signoff  Better Solvers For Better Scalable Performance  Productivity Through Integration with Fast Accurate Built-Engines  Improved Accuracy – Both For Tools And Models

9© 2013 Anirudh Devgan. All rights reserved. SSV Technology Pillars SSV Signoff Key Priorities Efficient Scalability With Multiple CPU’s and Machines Performance “In-Design” Integration – Critical for both analog & digital below 28nm Integration with Implementation Significantly faster timing, power Closure, not just analysis Improved Multi-Mode/Corner Solvers Productivity with built-in Design Closure New Solvers with Improved Accuracy Algorithms Higher Accuracy Engines Needed For 20nm and Below Accuracy

10© 2013 Anirudh Devgan. All rights reserved. Tempus Timing Signoff Solution New technology accelerates timing analysis and closure by weeks Introduced: May 2013 TSMC certifies Tempus Timing Signoff Solution Endorsed by Texas Instruments for advanced giga-scale, giga-performance ICs Performance Massively parallelized Scalable to 100s of CPUs Up to 10X speed up over competition Accuracy 10X faster path-based analysis Advanced process modeling Closure 10X reduction in closure time Unlimited MMMC capacity Incremental Design Closure

11© 2013 Anirudh Devgan. All rights reserved. Voltus IC Power Integrity Solution Massively parallel execution delivers high performance for up to one billion instances “IDT produces industry-leading products across a wide range of nodes and applications, and we were pleased to see the Voltus technology delivers up to a 10X performance improvement across various test cases ranging from 180nm to 28nm designs.” - Alan Coady, senior director, IDT “We are teaming early on with Cadence to validate the Voltus technology and we are impressed by its performance gains. This type of enhanced productivity is invaluable to help us meet our time-to-market goals.” - Ken Hansen, vice president and chief technology officer at Freescale Semiconductor Introduced: Nov Voltus™: Breakthrough technology in power integrity analysis and signoff Up to 10X faster performance over existing solutions Certified for TSMC 16nm FinFET process Integrated with key Cadence technology for fast design signoff and closure

12© 2013 Anirudh Devgan. All rights reserved. Voltus: Tilera Press Release – 11/19/2013 Without any loss of accuracy from 65 hours to 8 hours for dynamic analysis and from 12 hours to 2.5 hours for static analysis. Without any loss of accuracy, Tilera cut power signoff runtime for this highly integrated 72 core system-on-a-chip design from 65 hours to 8 hours for dynamic analysis and from 12 hours to 2.5 hours for static analysis. achieved an 8X run-time performance improvement for power signoff of its largest design to date, the TILE-Gx72 processor

13© 2013 Anirudh Devgan. All rights reserved. Key Differentiation Tempus Up To10x Speed Up Massively Parallel Execution Signoff ECO Voltus / VPS Up To 10X Speed Up Massively Parallel Execution In-design Productivity QRC QRC 2X-3X Performance Gain QRC-Field Solver ~25X Average Speed Up In-design Productivity PVS / IPVS 2X Soc DRC Speed Up In-design Productivity DFM 2X Better Performance Over Competing Products In-design Productivity Strong Advanced Node Foundry Support SSV Current Product Performance Status Significant Increase In Productivity

14© 2013 Anirudh Devgan. All rights reserved. Cadence Silicon Signoff & Verification Solutions Bringing Innovation To Signoff Establish Cadence as a technology leader in signoff Develop Best-in-class products Our Goal: Enable faster design tape-outs by reducing time spent in design closure and signoff by fixing problems, not just analyzing them

15© 2013 Anirudh Devgan. All rights reserved.