Learning Outcome By the end of this chapter, students are expected to understand a few elementary components in digital system Decoder Multiplexer Demultiplexer.

Slides:



Advertisements
Similar presentations
Verilog in transistor level using Microwind
Advertisements

Modular Combinational Logic
Digital Logic Design Week 7 Encoders, Decoders, Multiplexers, Demuxes.
Register Transfer Level
CPEN Digital System Design
Sequential Circuits1 DIGITAL LOGIC DESIGN by Dr. Fenghui Yao Tennessee State University Department of Computer Science Nashville, TN.
Multiplexors Sequential Circuits and Finite State Machines Prof. Sin-Min Lee Department of Computer Science.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
Combinational Logic1 DIGITAL LOGIC DESIGN by Dr. Fenghui Yao Tennessee State University Department of Computer Science Nashville, TN.
ECE 301 – Digital Electronics Multiplexers and Demultiplexers (Lecture #12)
Multiplexer MUX. 2 Multiplexer Multiplexer (Selector)  2 n data inputs,  n control inputs,  1 output  Used to connect 2 n points to a single point.
4-bit adder, multiplexer, timing diagrams, propagation delays
Chapter2 Digital Components Dr. Bernard Chen Ph.D. University of Central Arkansas Spring 2009.
 Combinational circuit that selects binary information from one of many input lines and directs information to a single output line.
Part 2: DESIGN CIRCUIT. LOGIC CIRCUIT DESIGN x y z F F = x + y’z x y z F Truth Table Boolean Function.
MOHD. YAMANI IDRIS/ NOORZAILY MOHAMED NOOR1 Sequential Circuit Design.
Combinational Logic Chapter 4.
Registers & Counters M. Önder Efe
Circuit, State Diagram, State Table
Digital Electronics.
Sahar Mosleh PageCalifornia State University San Marcos 1 Multiplexer, Decoder and Circuit Designing.
Digital Computer Concept and Practice Copyright ©2012 by Jaejin Lee Logic Circuits I.
CS2100 Computer Organisation MSI Components (AY2015/6 Semester 1)
Combinational Design, Part 3: Functional Blocks
1 CS151: Digital Design Chapters 4, 5 Review. CS Question 1 Design a combinational circuit for a Roller-Coaster ride in an amusement park. The design.
Logical Circuit Design Week 6,7: Logic Design of Combinational Circuits Mentor Hamiti, MSc Office ,
EEE2243 Digital System Design Chapter 5: Simple Design Case Studies by Muhazam Mustapha, February 2011.
Morgan Kaufmann Publishers
EEE2243 Digital System Design Chapter 4: Verilog HDL (Sequential) by Muhazam Mustapha, January 2011.
ECA1212 Introduction to Electrical & Electronics Engineering Chapter 9: Digital Electronics – Sequential Logic by Muhazam Mustapha, November 2011.
ECE/CS 352 Digital System Fundamentals© 2001 C. Kime 1 ECE/CS 352 Digital Systems Fundamentals Spring 2001 Chapters 3 and 4: Verilog – Part 2 Charles R.
EE2420 – Digital Logic Summer II 2013 Hassan Salamy Ingram School of Engineering Texas State University Set 12: Multiplexers, Decoders, Encoders, Shift.
EEE2243 Digital System Design Chapter 3: Verilog HDL (Combinational) by Muhazam Mustapha, January 2011.
Digital Logic Design.
Multiplexers and De-Multiplexers 1
Computer Science 210 Computer Organization Control Circuits Decoders and Multiplexers.
CO UNIT-I. 2 Multiplexers: A multiplexer selects information from an input line and directs the information to an output line A typical multiplexer has.
Hamming Code,Decoders and D,T-flip flops Prof. Sin-Min Lee Department of Computer Science.
Verilog A Hardware Description Language (HDL ) is a machine readable and human readable language for describing hardware. Verilog and VHDL are HDLs.
Multiplexors Decoders  Decoders are used for forming separate signals for different combination of input signals.  The multiplexer circuit is a digital.
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapter 4 -Part 1.
Company LOGO Edit your slogan here DKT 122/3 DIGITAL SYSTEM 1 WEEK #8 FUNCTIONS OF COMBINATIONAL LOGIC (ENCODER & DECODER, MUX & DEMUX)
ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Multiplexers.
Multiplexers & Decoders By: Jason Pitts CS 147 Spring 2010.
CSE 140 Lecture 13 Combinational Standard Modules Professor CK Cheng CSE Dept. UC San Diego 1.
Chapter4: Combinational Logic Part 4 Originally By Reham S. Al-Majed Imam Muhammad Bin Saud University.
CSE 140 Lecture 12 Combinational Standard Modules CK Cheng CSE Dept. UC San Diego 1.
Multiplexer.
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapters 4 – Part4: Verilog – Part 2.
MSI Circuits.
1 Lecture #15 EGR 277 – Digital Logic Reading Assignment: Chapter 5 in Digital Design, 3 rd Edition by Mano Example: (Problem 5-17 from Digital Design,
Multiplexer.
Reference: Chapter 3 Moris Mano 4th Edition
CS221: Digital Logic Design Combinational Circuits 3
Reference: Chapter 3 Moris Mano 4th Edition
CSE 140 Lecture 12 Combinational Standard Modules
Learning Outcome By the end of this chapter, students are expected to be able to: Design State Machine Write Verilog State Machine by Boolean Algebra and.
Learning Outcome By the end of this chapter, students are expected to refresh their knowledge on sequential logic related to HDL.
The Multiplexer Multi what?
Hasib Hasan Ankit Baingane Edward Hanson
CSE 140 Lecture 12 Combinational Standard Modules
Combinatorial Logic Design Practices
Logic Design Review – 2 Basic Combinational Circuits
FIGURE 4.1 Block diagram of combinational circuit
EET107/3 DIGITAL ELECTRONICS 1
COE 202: Digital Logic Design Combinational Circuits Part 3
CSE 140L Discussion 3 CK Cheng and Thomas Weng
EEE2243 Digital System Design Chapter 1: Verilog HDL (Combinational) by Muhazam Mustapha, February 2012.
ECE 352 Digital System Fundamentals
Presentation transcript:

EEE2243 Digital System Design Chapter 3: Elementary Components by Muhazam Mustapha, February 2012

Learning Outcome By the end of this chapter, students are expected to understand a few elementary components in digital system Decoder Multiplexer Demultiplexer T Flip-flop JK Flip-flop

Chapter Content Decoder Multiplexer Demultiplxer T Flip-flop JK Flip-flop

Decoder

Decoder A decoder is a combinational circuit that activates its output according to the binary value of its input General block diagram of active high 3-bit decoder: O0 3-to-8 Decoder O1 If I2I1I0 = 010, O2 will be set to HIGH, the rest will be LOW O2 I0 O3 I1 O4 I2 O5 O6 O7

Decoder Most of the decoders available in the market are inverted output (active low): O0 3-to-8 Decoder O1 If I2I1I0 = 010, O2 will be set to LOW, the rest will be HIGH O2 I0 O3 I1 O4 I2 O5 O6 O7

Decoder General truth table and circuit of 2-to-4 active high decoder: 1

Decoder General truth table and circuit of 2-to-4 active high decoder: 1

Decoder Verilog From the definition of decoder it might be obvious now that it easier to write its Verilog code in Boolean algebra rather than behavioral approach Active high decoder: module Decoder2to4(codein, codeout); input [1:0] codein; output [3:0] codeout; assign codeout[0] = ~codein[1] & ~codein[0]; assign codeout[1] = ~codein[1] & codein[0]; assign codeout[2] = codein[1] & ~codein[0]; assign codeout[3] = codein[1] & codein[0]; endmodule

Decoder Verilog Active low decoder: module Decoder2to4(codein, codeout); input [1:0] codein; output [3:0] codeout; assign codeout[0] = ~(~codein[1] & ~codein[0]); assign codeout[1] = ~(~codein[1] & codein[0]); assign codeout[2] = ~( codein[1] & ~codein[0]); assign codeout[3] = ~( codein[1] & codein[0]); endmodule

Multiplexer

Multiplexer A multiplexer (mux) is a combinational circuit that transfers its MULTI line inputs to a SINGLE line output according to the binary value of some selector lines General block diagram: I0 I1 I2 8-to-1 Mux I3 Output I4 If S2S1S0 = 010, value at I2 will be sent to Output I5 I6 I7 S2 S1 S0

Multiplexer General truth table of 8-to-1 multiplexer: S1 S0 Output I0 I0 1 I1 I2 I3

Multiplexer Based on the previous truth table, multiplexer can be built using decoder: S1 S0 Decoder I3 I2 Multiplexer Output I1 I0

Multiplexer The simplified circuit: S1 S0 I0 I1 Output I2 I3

Multiplexer Verilog Multiplexer is better be defined in behavioral approach module Mux4to1(sel, lin, lout); input [3:0] lin; input [1:0] sel; output lout; reg lout; always@(sel) begin case (sel) 0: lout = lin[0]; 1: lout = lin[1]; 2: lout = lin[2]; 3: lout = lin[3]; endcase end endmodule

Demultiplexer

Demultiplexer A demultiplexer (demux) is a combinational circuit that transfers its SINGLE line input to one of its MULTI line outputs according to the binary value of some selector lines General block diagram: Output O0 O1 1-to-8 Demux O2 O3 Input O4 If S2S1S0 = 010, value at Input goes O2 O5 O6 O7 S2 S1 S0

Demultiplexer Since a demux sends the input to only one output, the rest (non-active outputs) will be all HIGH (active low) or all LOW (active high) In this sense demux behaves like a decoder As a matter of fact we can build demux using decoder with gates at the outputs

Demultiplexer Active HIGH construct: Input O0 3-to-8 Decoder O1 O2 S0 The corresponding Verilog is left as exercise or tutorial or quiz

Demultiplexer Active LOW construct: Input O0 3-to-8 Decoder O1 O2 S0 The corresponding Verilog is left as exercise or tutorial or quiz

T & JK Flip-flops

T Flip-flop Toggles if T is high, otherwise stay Characteristic equation: T Q T Q Q* 1 clk Q

T Flip-flop Since in Verilog (any HDL) and FPGA design all flip-flops are D, we need to add some surrounding circuit if we want T flip-flops: T D Q clk Q The corresponding Verilog is left as exercise or tutorial or quiz

JK Flip-Flop Characteristic equation: J K Q Next Q (Q*) 1 Stay J Q clk 1 Stay J Q clk K Q Reset Characteristic equation: Set Toggle

JK Flip-flop Surrounding circuit for JK flip-flops: Q J clk Q The corresponding Verilog is left as exercise or tutorial or quiz