Introduction to VHDL for Synthesis

Slides:



Advertisements
Similar presentations
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Advertisements

History TTL-logic PAL (Programmable Array Logic)
Mridula Allani Fall 2010 (Refer to the comments if required) ELEC Fall 2010, Nov 21(Adopted from Profs. Nelson and Stroud)
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 2 Khurram Kazi Some of the slides were taken from K Gaj ’ s lecture slides from GMU ’ s VHDL.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
Simple Testbenches Behavioral Modeling of Combinational Logic
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
1 H ardware D escription L anguages Basic Language Concepts.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Data Flow Modeling of Combinational Logic Simple Testbenches
1 Part I: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
1 H ardware D escription L anguages Modeling Digital Systems.
IAY 0600 Digital Systems Design
CS 3850 Lecture 3 The Verilog Language. 3.1 Lexical Conventions The lexical conventions are close to the programming language C++. Comments are designated.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL George Mason University ECE 448 Lab 1 Developing Effective Testbenches.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
RTL Hardware Design by P. Chu Chapter Basic VHDL program 2. Lexical elements and program format 3. Objects 4. Data type and operators RTL Hardware.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #16 – Introduction.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
1 ECE 545 – Introduction to VHDL Dataflow Modeling of Combinational Logic Simple Testbenches ECE 656. Lecture 2.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
VHDL Very High Speed Integrated Circuit Hardware Description Language Shiraz University of shiraz spring 2011.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
IAY 0600 Digital Systems Design
George Mason University Simple Testbenches ECE 545 Lecture 4.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
George Mason University Data Flow Modeling in VHDL ECE 545 Lecture 7.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches Concurrent Statements & Adders.
ECOM 4311—Digital System Design with VHDL
CDA 4253 FPGA System Design Introduction to VHDL
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
VHDL Programming Fundamentals Presented By Dr. Pradyut Kumar Biswal Department of Electronics, IIIT Bhubaneswar.
George Mason University Introduction to VHDL for Synthesis Lecture 3.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
George Mason University ECE 545 – Introduction to VHDL Data Flow & Structural Modeling of Combinational Logic ECE 545 Lecture 2.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
CDA 4253 FPGA System Design Introduction to VHDL
Basic Language Concepts
Design Entry: Schematic Capture and VHDL
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
ECE 448 Lab 1a Developing Effective Testbenches
Lecture 4 VHDL Basics Simple Testbenches.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Lecture 3 VHDL Basics Simple Testbenches.
Data Flow Modeling of Combinational Logic
VHDL Discussion Subprograms
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
VHDL Discussion Subprograms
ECE 545 Lecture 5 Simple Testbenches.
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
Introduction to VHDL for Synthesis
CprE / ComS 583 Reconfigurable Computing
EEL4712 Digital Design (VHDL Tutorial).
(Simple Testbenches & Arithmetic Operations)
Presentation transcript:

Introduction to VHDL for Synthesis Lecture 2 Introduction to VHDL for Synthesis ECE 545 Introduction to VHDL

Resources Sundar Rajan, Essential VHDL: RTL Synthesis Volnei A. Pedroni, Circuit Design with VHDL Chapter 1, Introduction Chapter 2, Code Structure Chapter 3.1, Pre-Defined Data Types Sundar Rajan, Essential VHDL: RTL Synthesis Done Right Chapter 1, VHDL Fundamentals Chapter 2, Getting Your First Design Done (see errata at http://www.vahana.com/bugs.htm) ECE 545 Introduction to VHDL

Brief History of VHDL ECE 545 Introduction to VHDL

VHDL VHDL is a language for describing digital hardware used by industry worldwide VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language ECE 545 Introduction to VHDL 8 4

Genesis of VHDL State of art circa 1980 Multiple design entry methods and hardware description languages in use No or limited portability of designs between CAD tools from different vendors Objective: shortening the time from a design concept to implementation from 18 months to 6 months The Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL) is the product of a US Government request for a new means of describing digital hardware. The Very High Speed Integrated Circuit (VHSIC) Program was an initiative of the Defense Department to push the state of the art in VLSI technology, and VHDL was proposed as a versatile hardware description language. ECE 545 Introduction to VHDL 5 9

A Brief History of VHDL June 1981: Woods Hole Workshop July 1983: contract awarded to develop VHDL Intermetrics IBM Texas Instruments August 1985: VHDL Version 7.2 released December 1987: VHDL became IEEE Standard 1076-1987 and in 1988 an ANSI standard The contract for the first VHDL implementation was awarded to the team of Intermetrics, IBM, and Texas Instruments in July 1983. However, development of the language was not a closed process and was subjected to public review throughout the process (accounting for Versions 1 through 7.1). The final version of the language, developed under government contract, was released as VHDL Version 7.2. In March 1986, IEEE proposed a new standard VHDL to extend and modify the language to fix identified problems. In December 1987, VHDL became IEEE Standard 1076-1987. VHDL was again modified in September 1993 to further refine the language. These refinements both clarified and enhanced the language. The major changes included much improved file handling and a more consistent syntax and resulted in VHDL Standard 1076-1993. ECE 545 Introduction to VHDL 6 10

Three versions of VHDL VHDL-87 VHDL-93 VHDL-01 ECE 545 Introduction to VHDL 7

Verilog ECE 545 Introduction to VHDL

Verilog Essentially identical in function to VHDL No generate statement Simpler and syntactically different C-like Gateway Design Automation Co., 1983 Early de facto standard for ASIC programming Open Verilog International Standard Programming language interface to allow connection to non-Verilog code ECE 545 Introduction to VHDL 9

VHDL vs. Verilog Government Developed Commercially Developed Ada based C based Strongly Type Cast Mildly Type Cast Difficult to learn Easier to Learn More Powerful Less Powerful ECE 545 Introduction to VHDL 10

Examples ECE 545 Introduction to VHDL VHDL Example: Verilog Example: process (clk, rstn) begin if (rstn = '0') then q <= '0'; elseif (clk'event and clk = '1') then q <= a + b; end if; end process; Verilog Example: always@(posedge clk or negedge rstn) if (! rstn) q <= 1'b0; else end ECE 545 Introduction to VHDL 11

Features of VHDL and Verilog Technology/vendor independent Portable Reusable ECE 545 Introduction to VHDL

Hardware Description Languages Other Hardware Description Languages ECE 545 Introduction to VHDL

Other hardware description languages ABEL AHDL: Altera Hardware Description Language AHPL: A Hardware Programming Language CDL: Computer Design Language CONLAN: CONsensus LANguage IDL: Interactive Design Language ISPS: Instruction Set Processor Specification TEGAS: TEst Generation And Simulation TI-HDL: Texas Instruments Hardware Description Language ZEUS ECE 545 Introduction to VHDL

VHDL for Synthesis ECE 545 Introduction to VHDL

ECE 545 Introduction to VHDL VHDL for Specification VHDL for Simulation VHDL for Synthesis ECE 545 Introduction to VHDL

Levels of design description Algorithmic level Level of description most suitable for synthesis Register Transfer Level Logic (gate) level Circuit (transistor) level Physical (layout) level ECE 545 Introduction to VHDL

Register Transfer Logic (RTL) Design Description Combinational Logic … Registers ECE 545 Introduction to VHDL

VHDL Fundamentals ECE 545 Introduction to VHDL

Naming and Labeling (1) VHDL is not case sensitive Example: Names or labels databus Databus DataBus DATABUS are all equivalent ECE 545 Introduction to VHDL

Naming and Labeling (2) General rules of thumb (according to VHDL-87) All names should start with an alphabet character (a-z or A-Z) Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_) Do not use any punctuation or reserved characters within a name (!, ?, ., &, +, -, etc.) Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid) All names and labels in a given entity and architecture must be unique ECE 545 Introduction to VHDL

Free Format VHDL is a “free format” language No formatting conventions, such as spacing or indentation imposed by VHDL compilers. Space and carriage return treated the same way. Example: if (a=b) then or if (a=b) then if (a = b) then are all equivalent ECE 545 Introduction to VHDL

Readability standards ESA VHDL Modelling Guidelines published by European Space Research and Technology Center in September 1994 available at the course web page ECE 545 Introduction to VHDL

Readability standards Selected issues covered by ESA Guidelines: Consistent Writing Style Consistent Naming Conventions Consistent Indentation Consistent Commenting Style Recommended File Headers File naming and contents Number of statements/declarations per line Ordering of port and signal declarations Constructs to avoid ECE 545 Introduction to VHDL

Comments Comments in VHDL are indicated with a “double dash”, i.e., “--” Comment indicator can be placed anywhere in the line Any text that follows in the same line is treated as a comment Carriage return terminates a comment No method for commenting a block extending over a couple of lines Examples: -- main subcircuit Data_in <= Data_bus; -- reading data from the input FIFO ECE 545 Introduction to VHDL

Comments Explain Function of Module to Other Designers Explanatory, Not Just Restatement of Code Locate Close to Code Described Put near executable code, not just in a header ECE 545 Introduction to VHDL

Design Entity ECE 545 Introduction to VHDL

Design Entity Design Entity - most basic building block of a design. entity declaration architecture 1 architecture 2 architecture 3 design entity Design Entity - most basic building block of a design. One entity can have many different architectures. ECE 545 Introduction to VHDL

Entity Declaration Entity Declaration describes the interface of the component, i.e. input and output ports. Entity name Port type Port names Semicolon ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC ); END nand_gate; No Semicolon Reserved words Port modes (data flow directions) ECE 545 Introduction to VHDL

Entity declaration – simplified syntax ENTITY entity_name IS PORT ( port_name : signal_mode signal_type; …………. port_name : signal_mode signal_type); END entity_name; ECE 545 Introduction to VHDL

Architecture Describes an implementation of a design entity. Architecture example: ARCHITECTURE model OF nand_gate IS BEGIN z <= a NAND b; END model; ECE 545 Introduction to VHDL

Architecture – simplified syntax ARCHITECTURE architecture_name OF entity_name IS [ declarations ] BEGIN code END architecture_name; ECE 545 Introduction to VHDL

Entity Declaration & Architecture nand_gate.vhd LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ARCHITECTURE model OF nand_gate IS BEGIN z <= a NAND b; END model; ECE 545 Introduction to VHDL

Mode In ECE 545 Introduction to VHDL a Port signal Entity Driver resides outside the entity ECE 545 Introduction to VHDL

Mode out c <= z ECE 545 Introduction to VHDL z c Entity Port signal Driver resides inside the entity Can’t read out within an entity z c c <= z ECE 545 Introduction to VHDL

Mode out with signal z <= x c <= x ECE 545 Introduction to VHDL Port signal Entity Driver resides inside the entity x z c Signal X can be read inside the entity z <= x c <= x ECE 545 Introduction to VHDL

Mode inout ECE 545 Introduction to VHDL a Entity Port signal Signal can be read inside the entity Entity Port signal Driver may reside both inside and outside of the entity a ECE 545 Introduction to VHDL

Mode buffer z c c <= z ECE 545 Introduction to VHDL Entity Port signal Driver resides inside the entity z c Port signal Z can be read inside the entity c <= z ECE 545 Introduction to VHDL

Port Modes ECE 545 Introduction to VHDL The Port Mode of the interface describes the direction in which data travels with respect to the component In: Data comes in this port and can only be read within the entity. It can appear only on the right side of a signal or variable assignment. Out: The value of an output port can only be updated within the entity. It cannot be read. It can only appear on the left side of a signal assignment. Inout: The value of a bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment. Buffer: Used for a signal that is an output from an entity. The value of the signal can be used inside the entity, which means that in an assignment statement the signal can appear on the left and right sides of the <= operator ECE 545 Introduction to VHDL

Libraries ECE 545 Introduction to VHDL

Library declarations ECE 545 Introduction to VHDL Library declaration Use all definitions from the package std_logic_1164 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ARCHITECTURE model OF nand_gate IS BEGIN z <= a NAND b; END model; ECE 545 Introduction to VHDL

Library declarations - syntax LIBRARY library_name; USE library_name.package_name.package_parts; ECE 545 Introduction to VHDL

Fundamental parts of a library PACKAGE 1 PACKAGE 2 TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS ECE 545 Introduction to VHDL

Libraries ieee std work Need to be explicitly declared Specifies multi-level logic system, including STD_LOGIC, and STD_LOGIC_VECTOR data types Specifies pre-defined data types (BIT, BOOLEAN, INTEGER, REAL, SIGNED, UNSIGNED, etc.), arithmetic operations, basic type conversion functions, basic text i/o functions, etc. Visible by default Current designs after compilation ECE 545 Introduction to VHDL

STD_LOGIC Demystified ECE 545 Introduction to VHDL

What is STD_LOGIC you ask? LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ARCHITECTURE model OF nand_gate IS BEGIN z <= a NAND b; END model; What is STD_LOGIC you ask? ECE 545 Introduction to VHDL

STD_LOGIC type demystified Value Meaning ‘X’ Forcing (Strong driven) Unknown ‘0’ Forcing (Strong driven) 0 ‘1’ Forcing (Strong driven) 1 ‘Z’ High Impedance ‘W’ Weak (Weakly driven) Unknown ‘L’ Weak (Weakly driven) 0. Models a pull down. ‘H’ Weak (Weakly driven) 1. Models a pull up. ‘-’ Don't Care Signals are used to connect different parts of a design. They can be thought of as “wire” in conventional sense. Every signal has a type. A type is all the valid values that a signal can assume. VHDL naturally supports bit type, which allows signals of this (bit) type to take the values ‘0’ or ‘1’. Signals of type integer can take integer values between +(231 – 1) to -(231-1). Wire in real implementation may need to take an unknown value, ‘X’ or high impedance value, ‘Z’. Thus, IEEE 1164 standard defined std_logic with nine values listed in Table 1. Std_logic_vector is an array or vector of std_logic type. It represents a bus and has dimension associated with it, which is known as the range of vector. ECE 545 Introduction to VHDL

More on STD_LOGIC Meanings (1) ‘1’ ‘X’ Contention on the bus X ‘0’ ECE 545 Introduction to VHDL

More on STD_LOGIC Meanings (2) ECE 545 Introduction to VHDL

More on STD_LOGIC Meanings (3) VDD VDD ‘H’ ‘0’ ‘1’ ‘L’ ECE 545 Introduction to VHDL

More on STD_LOGIC Meanings (4) Do not care. Can be assigned to outputs for the case of invalid inputs(may produce significant improvement in resource utilization after synthesis). Use with caution ‘1’ = ‘-’ give FALSE ‘-’ ECE 545 Introduction to VHDL

Resolving logic levels X 0 1 Z W L H - X X X X X X X X X 0 X 0 X 0 0 0 0 X 1 X X 1 1 1 1 1 X Z X 0 1 Z W L H X W X 0 1 W W W W X L X 0 1 L W L W X H X 0 1 H W W H X - X X X X X X X X ECE 545 Introduction to VHDL

Modeling Wires and Buses ECE 545 Introduction to VHDL

Signals a b SIGNAL a : STD_LOGIC; SIGNAL b : STD_LOGIC_VECTOR(7 DOWNTO 0); a 1 wire b bus 8 ECE 545 Introduction to VHDL

Standard Logic Vectors SIGNAL a: STD_LOGIC; SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL c: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL d: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL e: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL f: STD_LOGIC_VECTOR(8 DOWNTO 0); ………. a <= ‘1’; b <= ”0000”; -- Binary base assumed by default c <= B”0000”; -- Binary base explicitly specified d <= ”0110_0111”; -- You can use ‘_’ to increase readability e <= X”AF67”; -- Hexadecimal base f <= O”723”; -- Octal base ECE 545 Introduction to VHDL

Vectors and Concatenation SIGNAL a: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL c, d, e: STD_LOGIC_VECTOR(7 DOWNTO 0); a <= ”0000”; b <= ”1111”; c <= a & b; -- c = ”00001111” d <= ‘0’ & ”0001111”; -- d <= ”00001111” e <= ‘0’ & ‘0’ & ‘0’ & ‘0’ & ‘1’ & ‘1’ & ‘1’ & ‘1’; -- e <= ”00001111” ECE 545 Introduction to VHDL

VHDL Design Styles ECE 545 Introduction to VHDL

VHDL Design Styles VHDL Design Styles dataflow structural behavioral Concurrent statements Components and interconnects Sequential statements Registers State machines Test benches Subset most suitable for synthesis ECE 545 Introduction to VHDL

xor3 Example ECE 545 Introduction to VHDL

Entity xor3 ENTITY xor3 IS PORT( A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; Result : OUT STD_LOGIC ); end xor3; ECE 545 Introduction to VHDL

Dataflow Architecture (xor3 gate) ARCHITECTURE dataflow OF xor3 IS SIGNAL U1_out: STD_LOGIC; BEGIN U1_out <=A XOR B; Result <=U1_out XOR C; END dataflow; U1_out ECE 545 Introduction to VHDL

Dataflow Description Describes how data moves through the system and the various processing steps. Data Flow uses series of concurrent statements to realize logic. Concurrent statements are evaluated at the same time; thus, order of these statements doesn’t matter. Data Flow is most useful style when series of Boolean equations can represent a logic. ECE 545 Introduction to VHDL

Structural Architecture (xor3 gate) ARCHITECTURE structural OF xor3 IS SIGNAL U1_OUT: STD_LOGIC; COMPONENT xor2 IS PORT( I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC ); END COMPONENT; BEGIN U1: xor2 PORT MAP (I1 => A, I2 => B, Y => U1_OUT); U2: xor2 PORT MAP (I1 => U1_OUT, I2 => C, Y => Result); END structural; A B C Result XOR3 ECE 545 Introduction to VHDL

Component and Instantiation (1) Named association connectivity (recommended) COMPONENT xor2 IS PORT( I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (I1 => A, I2 => B, Y => U1_OUT); ECE 545 Introduction to VHDL

Component and Instantiation (2) Positional association connectivity (not recommended) COMPONENT xor2 IS PORT( I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (A, B, U1_OUT); ECE 545 Introduction to VHDL

Structural Description Structural design is the simplest to understand. This style is the closest to schematic capture and utilizes simple building blocks to compose logic functions. Components are interconnected in a hierarchical manner. Structural descriptions may connect simple gates or complex, abstract components. Structural style is useful when expressing a design that is naturally composed of sub-blocks. ECE 545 Introduction to VHDL

Behavioral Architecture (xor3 gate) ARCHITECTURE behavioral OF xor3 IS BEGIN xor3_behave: PROCESS (A,B,C) IF ((A XOR B XOR C) = '1') THEN Result <= '1'; ELSE Result <= '0'; END IF; END PROCESS xor3_behave; END behavioral; ECE 545 Introduction to VHDL

Behavioral Description It accurately models what happens on the inputs and outputs of the black box (no matter what is inside and how it works). This style uses PROCESS statements in VHDL. ECE 545 Introduction to VHDL

Testbenches ECE 545 Introduction to VHDL

Testbench Block Diagram Processes Generating Stimuli Design Under Test (DUT) Verify simulated outputs with expected output to see if the design behaves according to specification Observed Outputs ECE 545 Introduction to VHDL

Testbench Defined Testbench applies stimuli (drives the inputs) to the Design Under Test (DUT) and (optionally) verifies expected outputs. The results can be viewed in a waveform window or written to a file. Since Testbench is written in VHDL, it is not restricted to a single simulation tool (portability). The same Testbench can be easily adapted to test different implementations (i.e. different architectures) of the same design. ECE 545 Introduction to VHDL

Testbench Anatomy ECE 545 Introduction to VHDL ENTITY tb IS --TB entity has no ports END tb; ARCHITECTURE arch_tb OF tb IS --Local signals and constants COMPONENT TestComp --All Design Under Test component declarations PORT ( ); END COMPONENT; ----------------------------------------------------- BEGIN testSequence: PROCESS -- Input stimuli END PROCESS; DUT:TestComp PORT MAP( -- Instantiations of DUTs ); END arch_tb; Internal signals are from DUT. Main process may be split into main process. I.e. one to drive clk, rst and other for test vectors. Many architectures can be tested by inserting more for DUT:TestComp use entity work.TestComp(archName) statmetns “work” is the name of the library that “TestComp” is being compiled to. The “DUT” tag is required. ECE 545 Introduction to VHDL

Testbench for XOR3 (1) ECE 545 Introduction to VHDL LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY xor3_tb IS END xor3_tb; ARCHITECTURE xor3_tb_architecture OF xor3_tb IS -- Component declaration of the tested unit COMPONENT xor3 PORT( A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; Result : OUT STD_LOGIC ); END COMPONENT; -- Stimulus signals - signals mapped to the input and inout ports of tested entity SIGNAL test_vector: STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL test_result : STD_LOGIC; ECE 545 Introduction to VHDL

Testbench for XOR3 (2) ECE 545 Introduction to VHDL BEGIN UUT : xor3 PORT MAP ( A => test_vector(0), B => test_vector(1), C => test_vector(2), Result => test_result); ); Testing: PROCESS test_vector <= "000"; WAIT FOR 10 ns; test_vector <= "001"; test_vector <= "010"; test_vector <= "011"; test_vector <= "100"; test_vector <= "101"; test_vector <= "110"; test_vector <= "111"; END PROCESS; END xor3_tb_architecture; ECE 545 Introduction to VHDL

What is a PROCESS? A process is a sequence of instructions referred to as sequential statements. The keyword PROCESS A process can be given a unique name using an optional LABEL This is followed by the keyword PROCESS The keyword BEGIN is used to indicate the start of the process All statements within the process are executed SEQUENTIALLY. Hence, order of statements is important. A process must end with the keywords END PROCESS. Testing: PROCESS BEGIN test_vector<=“00”; WAIT FOR 10 ns; test_vector<=“01”; test_vector<=“10”; test_vector<=“11”; END PROCESS; ECE 545 Introduction to VHDL

Execution of statements in a PROCESS Testing: PROCESS BEGIN test_vector<=“00”; WAIT FOR 10 ns; test_vector<=“01”; test_vector<=“10”; test_vector<=“11”; END PROCESS; The execution of statements continues sequentially till the last statement in the process. After execution of the last statement, the control is again passed to the beginning of the process. Order of execution Program control is passed to the first statement after BEGIN ECE 545 Introduction to VHDL

PROCESS with a WAIT Statement The last statement in the PROCESS is a WAIT instead of WAIT FOR 10 ns. This will cause the PROCESS to suspend indefinitely when the WAIT statement is executed. This form of WAIT can be used in a process included in a testbench when all possible combinations of inputs have been tested or a non-periodical signal has to be generated. Testing: PROCESS BEGIN test_vector<=“00”; WAIT FOR 10 ns; test_vector<=“01”; test_vector<=“10”; test_vector<=“11”; WAIT; END PROCESS; Order of execution Program execution stops here ECE 545 Introduction to VHDL

WAIT FOR vs. WAIT WAIT FOR: waveform will keep repeating itself forever … 1 2 3 1 2 3 WAIT : waveform will keep its state after the last wait instruction. … ECE 545 Introduction to VHDL

Loop Statement Loop Statement Repeats a Section of VHDL Code Example: process every element in an array in the same way FOR i IN range LOOP statements END LOOP; ECE 545 Introduction to VHDL

Loop Statement – Example (1) Testing: PROCESS BEGIN test_vector<="000"; FOR i IN 0 TO 7 LOOP WAIT FOR 10 ns; test_vector<=test_vector+”001"; END LOOP; END PROCESS; ECE 545 Introduction to VHDL

Loop Statement – Example (2) Testing: PROCESS BEGIN test_ab<="00"; test_sel<="00"; FOR i IN 0 TO 3 LOOP FOR j IN 0 TO 3 LOOP WAIT FOR 10 ns; test_ab<=test_ab+"01"; END LOOP; test_sel<=test_sel+"01"; END PROCESS; ECE 545 Introduction to VHDL

? ECE 545 Introduction to VHDL