EENG 2910 – Digital Systems Design Fall 2007. Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo Email:

Slides:



Advertisements
Similar presentations
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Advertisements

1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 2 Khurram Kazi Some of the slides were taken from K Gaj ’ s lecture slides from GMU ’ s VHDL.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
Introduction to VHDL for Synthesis
VHDL Training ©1995 Cypress Semiconductor 1 Introduction  VHDL is used to:  document circuits  simulate circuits  synthesize design descriptions 
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Data Flow Modeling of Combinational Logic Simple Testbenches
ECE 2372 Modern Digital System Design
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #16 – Introduction.
Module 1.2 Introduction to Verilog
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches Concurrent Statements & Adders.
Data Flow Modeling in VHDL
ECOM 4311—Digital System Design with VHDL
CDA 4253 FPGA System Design Introduction to VHDL
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
George Mason University Introduction to VHDL for Synthesis Lecture 3.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Refresher Lecture 2.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
CDA 4253 FPGA System Design Introduction to VHDL
Basic Language Concepts
Design Entry: Schematic Capture and VHDL
ECE 434 Advanced Digital System L08
VHDL VHSIC Hardware Description Language VHSIC
VHDL Discussion Subprograms
VHDL Structural Architecture
VHDL Introduction.
VHDL Discussion Subprograms
Hardware Modeling & Synthesis Using VHDL
Lecture 2 VHDL Refresher ECE 448 – FPGA and ASIC Design with VHDL.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
CprE / ComS 583 Reconfigurable Computing
Digital Designs – What does it take
Sequntial-Circuit Building Blocks
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

EENG 2910 – Digital Systems Design Fall 2007

Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo Office: B238 Telephone: Office Hours:M & W1:00pm-2pm Teaching Assistant: TBD EENG 2910 Digital Systems Design

Course Introduction (contd.) Grading Policy: Mini-project with discrete components: 30 Main Project in VHDL: 70 (VHDL Skills: 15 + Design & Test Skills: 30 + Documentation: 10 + Defense: 15). EENG 2910 Digital Systems Design

Course Requirements Textbook o No required textbook Reference o Fundamentals of Digital Logic with VHDL Design, 2nd Ed., Stephen Brown and Zvonko Vranesic, McGraw Hill Science/Engineering/Math, 2004, ISBN: Computer Software o Xilinx ISE 9.2i and 9.1i with Modelsim 6g Available from o EDK 9.1 o Chipscope Pro EENG 2910 Digital Systems Design

Course Requirements (contd.) Hardware o Spartan 3 starter board o Spartan 3E starter board o Virtex II Pro XUP Development board o Logic Analyzer o Digital Oscilloscope, Power supply and waveform generators EENG 2910 Digital Systems Design

What is a Digital System? An interconnection of digital modules designed to perform specific functions. Applications: Microprocessors Computers Embedded system-appliances and automobile Special purpose – military chips and high performance computing EENG 2910 Digital Systems Design

Digital Systems (contd.) High Level Digital Modules Microprocessors PLDs ASICs Low Level Digital Modules Gates - AND, OR, NOR, etc. Blocks - Adder, subtractor,etc. EENG 2910 Digital Systems Design

Implementation of Digital Systems PCB FPGA ASIC SOC EENG 2910 Digital Systems Design

FPGA EENG 2910 Digital Systems Design Digilent PCB using Xilinx FPGA

ASIC EENG 2910 Digital Systems Design Intel Pentium IV

FPGA Basics FPGA Collection of programmable “gates” embedded in a flexible interconnect network. Digital System Design Digital Systems Design is a process that involves the development of an idea into an architecture that can be implemented digitally. EENG 2910 Digital Systems Design

Levels of Abstraction in Digital Design Behavioral RTL Logic Layout EENG 2910 Digital Systems Design

Behavioral level: Functional description of the model is shown. No system clock and signal transitions are asynchronous with respect to the switching time. Simulation only, but typically not synthesizable. EENG 2910 Digital Systems Design

Register level (RTL): The design is divided into combinational logic and storage elements. Storage elements (Flip-Flops, latches) are controlled by a system clock. Synthesizable Logic level: The design is represented as a netlist with logic gates (AND, OR, NOT,...) and storage elements. EENG 2910 Digital Systems Design

Introduction to VHDL Fall 2007

EENG 2910 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description Language. Originally developed by DoD for specifying digital system. VHDL is an IEEE standard specification language (IEEE 1164).

EENG 2910 Digital Systems Design Uses Description of complex digital circuits. Modeling the behavior of complex circuit so that it’s operation could be simulated. Input to design entry in CAD systems thereby reducing the time to complete design cycle.

EENG 2910 Digital Systems Design Features of VHDL Technology/vendor independent Reusable Portable

EENG 2910 Digital Systems Design Features of program 1. VHDL is not case sensitive 2. All names should start with an alphabet character (a-z or A-Z) 3. Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_) 4. Do not use any punctuation or reserved characters within a name (!, ?,., &, +, -, etc.) 5. Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid) 6. All names and labels in a given entity and architecture must be unique

EENG 2910 Digital Systems Design Features of program Comments are indicated with a double-dash. The carriage return terminates a comment. No formatting conventions imposed by VHDL compiler. Example: if (a=b) then or if (a=b)then or if (a = b) then are all equivalent

EENG 2910 Digital Systems Design VHDL MODEL A complete VHDL component description consists of an Entity and an Architecture. Entity – Describes a component’s interface. Architecture – defines a component’s function. Architectural Description – Structural, behavioral (algorithmic and dataflow).

EENG 2910 Digital Systems Design Entity Declaration Entity Declaration describes the interface of the component, i.e. input and output ports. Reserved words ENTITY nor_gate IS PORT( x : IN STD_LOGIC; y : IN STD_LOGIC; z : OUT STD_LOGIC ); END nor_gate; Entity name Port names Port type Semicolon No Semicolon Port modes

EENG 2910 Digital Systems Design Architecture Architecture describes an implementation of a design entity. Example of architectural implementation: ARCHITECTURE sample OF nor_gate IS BEGIN z <= x nor y; END sample;

EENG 2910 Digital Systems Design Complete VHDL Model LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nor_gate IS PORT( x : IN STD_LOGIC; y : IN STD_LOGIC; z : OUT STD_LOGIC); END nor_gate; ARCHITECTURE sample OF nand_gate IS BEGIN z <= x NAND y; END sample; nor_gate.vhd

EENG 2910 Digital Systems Design Port Modes In: Data goes into the component and only appear on the right side of a signal or variable assignment. Out: Values cannot be read into the component but can only be updated from within. It can only appear on the left side of a signal assignment. Inout: Bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment.

EENG 2910 Digital Systems Design Signals SIGNAL x : STD_LOGIC; SIGNAL y : STD_LOGIC_VECTOR(7 DOWNTO 0); wire x bus y 1 8

EENG 2910 Digital Systems Design Standard Logic Vectors SIGNAL m: STD_LOGIC; SIGNAL n: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL o: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL p: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL q: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL r: STD_LOGIC_VECTOR(8 DOWNTO 0); ………. m <= ‘0’; n <= ”0000”; -- Binary base assumed by default o <= B”0000”; -- Binary base explicitly specified p <= ”0110_0111”; -- You can use ‘_’ to increase readability q <= X”BF74”; -- Hexadecimal base r <= O”745”; -- Octal base

EENG 2910 Digital Systems Design Vectors and Concatenation SIGNAL x: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL y: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL z, m, n: STD_LOGIC_VECTOR(7 DOWNTO 0); a <= ”0000”; b <= ”1111”; c <= a & b; -- c = ” ” m <= ‘1’ & ” ”; -- d <= ” ” n <= ‘1’ & ‘1’ & ‘0’ & ‘0’ & ‘1’ & ‘1’ & ‘1’ & ‘1’; -- e <= ” ”

EENG 2910 Digital Systems Design VHDL Design Styles Components and interconnects structural VHDL Design Styles dataflow Concurrent statements behavioral Registers State machines Test benches Sequential statements

EENG 2910 Digital Systems Design Example – xor3

EENG 2910 Digital Systems Design Entity xor3 ENTITY xor3 IS PORT( X, Y, Z : IN STD_LOGIC; R : OUT STD_LOGIC ); end xor3;

EENG 2910 Digital Systems Design Dataflow Architecture (xor3 gate) ARCHITECTURE dataflow OF xor3 IS SIGNAL m_sig: STD_LOGIC; BEGIN m_sig <=X XOR Y; R <= m_sig XOR C; END dataflow; m_sig

EENG 2910 Digital Systems Design Dataflow Description Gives a description of how data moves through the system and the various processing steps. Data Flow uses series of concurrent statements to realize logic. Order of data flow does not matter because concurrent statements are evaluated at the same time. Data Flow is most useful style when series of Boolean equations can represent a logic.

EENG 2910 Digital Systems Design Structural Architecture (xor3 gate) ARCHITECTURE structural OF xor3 IS SIGNAL U1_OUT: STD_LOGIC; COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; BEGIN U1: xor2 PORT MAP (m => X, n => Y, p => m_sig); U2: xor2 PORT MAP (m => m_sig, n => z, p => R); END structural; X Y Z RXOR3 m_sig

EENG 2910 Digital Systems Design Component and Instantiation (1) Named association connectivity COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (m => X, n => Y, p => m_sig);

EENG 2910 Digital Systems Design COMPONENT xor2 IS PORT( m : IN STD_LOGIC; n : IN STD_LOGIC; p : OUT STD_LOGIC ); END COMPONENT; U1: xor2 PORT MAP (X, Y, m_sig); Component and Instantiation (2) Positional association connectivity

EENG 2910 Digital Systems Design Structural Description Structural design is the simplest to understand is the closest to schematic capture and utilizes simple building blocks to compose logic functions. Components are interconnected in a hierarchical manner. Structural descriptions may connect simple gates or complex, abstract components. Structural style is useful when expressing a design that is naturally composed of sub- blocks.

EENG 2910 Digital Systems Design Behavioral Architecture (xor3 gate) ARCHITECTURE behavioral OF xor3 IS BEGIN xor3_behav: PROCESS (X,Y,Z) BEGIN IF ((X XOR Y XOR Z) = '1') THEN R <= '1'; ELSE R <= '0'; END IF; END PROCESS xor3_behav; END behavioral;

EENG 2910 Digital Systems Design Behavioral Description It accurately models what happens on the inputs and outputs of the black box (no matter what is inside and how it works). This style uses PROCESS statements in VHDL.