International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Experimental Setup Cadence QPlace Cadence WRoute LEF/DEFLEF/DEF Dragon.

Slides:



Advertisements
Similar presentations
MIP-based Detailed Placer for Mixed-size Circuits Shuai Li, Cheng-Kok Koh ECE, Purdue University {li263,
Advertisements

Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
An Efficient Technology Mapping Algorithm Targeting Routing Congestion Under Delay Constraints Rupesh S. Shelar Intel Corporation Hillsboro, OR Prashant.
A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
SimPL: An Effective Placement Algorithm Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1ICCAD 2010, Myung-Chul Kim,
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Consistent Placement of Macro-Blocks Using Floorplanning and Standard-Cell Placement Saurabh Adya Igor Markov (University of Michigan)
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
APLACE: A General and Extensible Large-Scale Placer Andrew B. KahngSherief Reda Qinke Wang VLSICAD lab University of CA, San Diego.
International Conference on Computer-Aided Design San Jose, CA Nov. 2001ER UCLA UCLA 1 Congestion Reduction During Placement Based on Integer Programming.
March 2002 update for GSRC Igor L. Markov University of Michigan.
DARPA Bookshelf For VLSI CAD Algorithms: Progress and Future Directions Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov.
Constructive Benchmarking for Placement David A. Papa EECS Department University of Michigan Ann Arbor, MI Igor L. Markov EECS.
On Mismatches Between Incremental Optimizers and Instance Perturbation in Physical Design Tools Andrew B. Kahng and Stefanus Mantik UCSD CSE & ECE Depts.,
International Symposium of Physical Design Sonoma County, CA April 2001ER UCLA UCLA 1 Congestion Estimation During Top-Down Placement Xiaojian Yang Ryan.
Design Team Project: Physical Design ( Layout ) Kyungseok Kim ELEC 7770 Advanced VLSI Design Lecturer: Dr. Vishwani D. Agrawal.
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
Andrew Kahng – November 2002 ICCAD-2002 Open Source Panel Andrew B. Kahng UC San Diego CSE & ECE Depts. Igor L. Markov Univ. of Michigan EECS Dept.
Benchmarking for [Physical] Synthesis Igor Markov and Prabhakar Kudva The Univ. of Michigan / IBM.
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto)
Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov Supported by Cadence.
Fixed-outline Floorplanner (Parquet) A constraint satisfaction problem “Parquet” based on “floorplan slack” Use better local search to satisfy constraints.
DUSD(Labs) GSRC bX update March 2003 Aaron Ng, Marius Eriksen and Igor Markov University of Michigan.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 5: File Formats.
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
ISPD 2000, San DiegoApr 10, Requirements for Models of Achievable Routing Andrew B. Kahng, UCLA Stefanus Mantik, UCLA Dirk Stroobandt, Ghent.
Fall 2003EE VLSI Design Automation I 149 EE 5301 – VLSI Design Automation I Kia Bazargan University of Minnesota Part V: Placement.
Routing-Aware Scan Chain Ordering Puneet Gupta and Andrew B. Kahng (Univ. of California at San Diego, La Jolla, CA, USA.), Stefanus Mantik (Cadence Design.
CS 258F Class Project Spring 2003 Prof. Jason Cong.
Placement-Centered Research Directions and New Problems Xiaojian Yang Amir Farrahi Synplicity Inc.
Supply Voltage Biasing in Synopsys Andy Whetzel University of Virginia 1.
Vladimir Yutsis Ismail Bustany David Chinnery Joseph Shinnerl Wen-Hao Liu - National Tsing Hua University ISPD 2014 Detailed Routing- Driven Placement.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Global Routing.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
ASIC Design Flow – An Overview Ing. Pullini Antonio
Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement Jarrod A. Roy, James F. Lu and Igor L. Markov University of Michigan Ann.
Improved Cut Sequences for Partitioning Based Placement Mehmet Can YILDIZ and Patrick H. Madden State University of New York at BinghamtonComputer Science.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
ECE556 Project Part 1 Azadeh Davoodi Spring 2015.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Synopsys Custom Designer Tutorial for a chip integration using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,
Synopsys Custom Designer Tutorial for a chip integration using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version.
1 ER UCLA ISPD: Sonoma County, CA, April, 2001 An Exact Algorithm for Coupling-Free Routing Ryan Kastner, Elaheh Bozorgzadeh,Majid Sarrafzadeh.
International Workshop on System-Level Interconnection Prediction, Sonoma County, CA March 2001ER UCLA UCLA 1 Wirelength Estimation based on Rent Exponents.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
ECE 565 VLSI Chip Design Styles Shantanu Dutt ECE Dept. UIC.
International Symposium on Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Routability Driven White Space Allocation for Fixed-Die Standard-Cell.
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
OpenAccess Gear David Papa 1 Zhong Xiu 2, Christoph Albrecht, Philip Chong, Andreas Kuehlmann 3 Cadence Berkeley Labs 1 University of Michigan, 2 Carnegie.
C.A.D.: Bookshelf June 18, 8:00am-11:00am. Outline Review: [some of] bookshelf objectives Where we want to go vs what we have now Invited presentations.
The Early Days of Automatic Floorplan Design
RTL Design Flow RTL Synthesis HDL netlist logic optimization netlist Library/ module generators physical design layout manual design a b s q 0 1 d clk.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
CAD-IP Reuse via the Bookshelf for Fundamental VLSI CAD Algorithms
Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis
SoCKs Flow: Here, There, and Back Again
ESP: A new Standard Cell Placement Package using Simulated Evolution
ICCAD-2002 Open Source Panel Andrew B
Presentation transcript:

International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Experimental Setup Cadence QPlace Cadence WRoute LEF/DEFLEF/DEF Dragon (Fixed-die) Capo MetaPlacer IBM-PLACE2IBM-PLACE2 QPlace ECO mode Placed DEF Placed DEF Placed DEF Placed DEF

International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 2 Benchmarks (IBM-PLACE 2.0) Converted from ISPD98 partitioning suite, floorplanned by Cadence Silicon Ensemble New features for IBM-PLACE 2.0: LEF/DEF and GSRC bookshelf format Cell sizes are similar with the standard-cells in TSMC 0.18um library (from Artisan Inc.) Aspect ratio 1.0 (arbitrary number of rows) No space between rows Exact pin locations (not center-of-cell) Over-the-cell routing with 4 or 5 routing layers Predefined core size with white space 5%-15% Each circuit corresponds to an easy and a hard instance Limitations No clock/power/ground signals No pin input/output information No I/O pads connections Converted from ISPD98 partitioning suite, floorplanned by Cadence Silicon Ensemble New features for IBM-PLACE 2.0: LEF/DEF and GSRC bookshelf format Cell sizes are similar with the standard-cells in TSMC 0.18um library (from Artisan Inc.) Aspect ratio 1.0 (arbitrary number of rows) No space between rows Exact pin locations (not center-of-cell) Over-the-cell routing with 4 or 5 routing layers Predefined core size with white space 5%-15% Each circuit corresponds to an easy and a hard instance Limitations No clock/power/ground signals No pin input/output information No I/O pads connections

International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 3 Place/Route Flow QPlace Congestion-driven (default) mode Capo/MetaPlacer Default mode A post-placement step (QPlace ECO mode) is required to correct small displacement problems Dragon Fixed-die mode with white space allocation WRoute Both global and detailed routing Reporting final wirelength, number of violations and vias, routing time. Automatically stopping if the placement is unroutable QPlace Congestion-driven (default) mode Capo/MetaPlacer Default mode A post-placement step (QPlace ECO mode) is required to correct small displacement problems Dragon Fixed-die mode with white space allocation WRoute Both global and detailed routing Reporting final wirelength, number of violations and vias, routing time. Automatically stopping if the placement is unroutable

International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 4DetailsDetails Input files QPlace: configuration file, LEF, floorplanned DEF Capo/MetaPlace: auxiliary file, LEF, floorplanned DEF Dragon: configuration file, LEF, floorplanned DEF ECO for Capo/MetaPlace: configuration file, LEF, floorplanned and placed DEF WRoute: configuration file, LEF, floorplanned and placed DEF Routing result Successful without violation With lots of iterations and a small number of violations Failed because of too many violations GSRC bookshelf format Dragon website will provide the benchmarks for both LEF/DEF and bookshelf format, and the converter from placed bookshelf files to DEF files Input files QPlace: configuration file, LEF, floorplanned DEF Capo/MetaPlace: auxiliary file, LEF, floorplanned DEF Dragon: configuration file, LEF, floorplanned DEF ECO for Capo/MetaPlace: configuration file, LEF, floorplanned and placed DEF WRoute: configuration file, LEF, floorplanned and placed DEF Routing result Successful without violation With lots of iterations and a small number of violations Failed because of too many violations GSRC bookshelf format Dragon website will provide the benchmarks for both LEF/DEF and bookshelf format, and the converter from placed bookshelf files to DEF files