Robust Low Power VLSI ECE 7502 S2015 Memory Built-in-Self Test (MBIST): Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

Design and Use of Memory-Specific Test Structures to Ensure SRAM Yield and Manufacturability F. Duan, R. Castagnetti, R. Venkatraman, O. Kobozeva and S.
MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
Tunable Sensors for Process-Aware Voltage Scaling
LEVERAGING ACCESS LOCALITY FOR THE EFFICIENT USE OF MULTIBIT ERROR-CORRECTING CODES IN L2 CACHE By Hongbin Sun, Nanning Zheng, and Tong Zhang Joseph Schneider.
Circuit Extraction 1 Outline –What is Circuit Extraction? –Why Circuit Extraction? –Circuit Extraction Algorithms Goal –Understand Extraction problem –Understand.
Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science University of Michigan.
+ CS 325: CS Hardware and Software Organization and Architecture Internal Memory.
CMP238: Projeto e Teste de Sistemas VLSI Marcelo Lubaszewski Aula 2 - Teste PPGC - UFRGS 2005/I.
Physical Unclonable Functions and Applications
An Analytical Model for Worst-case Reorder Buffer Size of Multi-path Minimal Routing NoCs Gaoming Du 1, Miao Li 1, Zhonghai Lu 2, Minglun Gao 1, Chunhua.
Robust Low Power VLSI ECE 7502 S2015 Delay Test ECE 7502 Class Discussion He Qi March 19, 2015.
120/MAPLD 2004 Maintaining Data Integrity in EEPROM’s Ed Patnaude Maxwell Technologies San Diego, Ca.
Twin Logic Gates – Improved Logic Reliability by Redundancy concerning Gate Oxide Breakdown Hagen Sämrow, Claas Cornelius, Frank Sill, Andreas Tockhorn,
Mitigating the Performance Degradation due to Faults in Non-Architectural Structures Constantinos Kourouyiannis Veerle Desmet Nikolas Ladas Yiannakis Sazeides.
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
1 Delay Insensitivity does not mean slope insensitivity! Vainbaum Yuri.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science August 20, 2009 Enabling.
Robust Low Power VLSI ECE 7502 S2015 Burn-in/Stress Test for Reliability: Reducing burn-in time through high-voltage stress test and Weibull statistical.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Logic Simulation 4 Outline –Fault Simulation –Fault Models –Parallel Fault Simulation –Concurrent Fault Simulation Goal –Understand fault simulation problem.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
Die-Hard SRAM Design Using Per-Column Timing Tracking
PED Roadmapping Issues Vijaykrishnan Narayanan Dept. of CSE Penn State University GSRC Workshop, March 20-21, 2003.
Testing of Logic Circuits. 2 Outline  Testing –Logic Verification –Silicon Debug –Manufacturing Test  Fault Models  Observability and Controllability.
Evaluation of Redundancy Analysis Algorithms for Repairable Embedded Memories by Simulation Laboratory for Reliable Computing (LaRC) Electrical Engineering.
March 17, 2008Southeastern Symposium on System Theory (SSST) 2008, March 16-18, New Orleans, Louisiana 1 Nitin Yogi and Dr. Vishwani D. Agrawal Auburn.
ECE 7502 Class Discussion Seyi Ayorinde Tuesday, February 3rd, 2015
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
DYNAMIC TEST SET SELECTION USING IMPLICATION-BASED ON-CHIP DIAGNOSIS Nicholas Imbriglia, Nuno Alves, Elif Alpaslan, Jennifer Dworak Brown University NATW.
Adopting Multi-Valued Logic for Reduced Pin-Count Testing Baohu Li, Bei Zhang and Vishwani Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
High Speed 64kb SRAM ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto.
Mugil Vannan H ST Microelectronics India Pvt. Ltd, Noida
1 UCR Hardware Security Primitives with focus on PUFs Slide credit: Srini Devedas and others.
Robust Low Power VLSI ECE 7502 S2015 Analog and Mixed Signal Test ECE 7502 Class Discussion Christopher Lukas 5 th March 2015.
1 Review Of “A 125 MHz Burst-Mode Flexible Read While Write 256Mbit 2b/c 1.8V NOR Flash Memory” Adopted From: “ISSCC 2005 / SESSION 2 / NON-VOLATILE MEMORY.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
CSE477 L28 DFT.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 28: Design for Test Mary Jane Irwin ( )
Robust Low Power VLSI ECE 7502 S2015 Fault Diagnosis and Logic Debugging Using Boolean Satisfiability ECE 7502 Class Discussion Benjamin Melton Thursday.
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
Canary SRAM Built in Self Test for SRAM VMIN Tracking
ECE 7502 Project Final Presentation
EE141 VLSI Test Principles and Architectures Ch. 9 - Memory Diagnosis & BISR - P. 1 1 Chapter 9 Memory Diagnosis and Built-In Self-Repair.
Robust Low Power VLSI ECE 7502 S2015 Evaluation of Coverage-Driven Random Verification ECE 7502 – Project Presentation Qing Qin 04/23/2015.
European Test Symposium, May 28, 2008 Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence, RI Kundan.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Test and Test Equipment Joshua Lottich CMPE /23/05.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Low-Power SRAM ECE 4332 Fall 2010 Team 2: Yanran Chen Cary Converse Chenqian Gan David Moore.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
Project SRAM Stevo Bailey Kevin Linger Roger Lorenzo John Thompson ECE 4332: Intro to VLSI.
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Copyright © 2010 Houman Homayoun Houman Homayoun National Science Foundation Computing Innovation Fellow Department of Computer Science University of California.
Patricia Gonzalez Divya Akella VLSI Class Project.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Silicon Programming--Testing1 Completing a successful project (introduction) Design for testability.
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
COE-571 Digital System Testing A Pattern Ordering Algorithm for Reducing the Size of Fault Dictionaries Authors: P. Bernardi, M. Grosso, M. Rebaudengo,
Modeling of Failure Probability and Statistical Design of Spin-Torque Transfer MRAM (STT MRAM) Array for Yield Enhancement Jing Li, Charles Augustine,
Raghuraman Balasubramanian Karthikeyan Sankaralingam
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
Test Data Compression for Scan-Based Testing
A Random Access Scan Architecture to Reduce Hardware Overhead
Fault Mitigation of Switching Lattices under the Stuck-At Model
Presentation transcript:

Robust Low Power VLSI ECE 7502 S2015 Memory Built-in-Self Test (MBIST): Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study from 90nm down to 40nm Technology Nodes ECE 7502 Class Discussion Harsh N. Patel 02/19/2015

Robust Low Power VLSI Paper Map 2 [1] R. Alves Fonseca et. al., "Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study from 90nm down to 40nm Technology Nodes,“ ETS [2] Van de Goor, A.J., et. al. "March LR: a test for realistic linked faults", 14th VLSI Test Symposium, 1996 [3] Hamdioui, S. et. al."Linked faults in random access memories: concept, fault models, test algorithms, and industrial results" ITC [4] Zordan, L.B; et. al.;"On the reuse of read and write assist circuits to improve test efficiency in low- power SRAMs.” ITC 2013 [5] Zordan, L.B; et. al "Low-power SRAMs power mode control logic: Failure analysis and test solutions" International Test Conference (ITC), [1] Targeting specific fault in memory core and its impact on complete array [2] & [3] defines basic SRAM fault models [4] use of existing peripherals for testing. [5] Low Power SRAM power mode control logic testing. Standard fault models for SRAM Impact of subset of fault across tech and PVT Different aspect of testing

Robust Low Power VLSI Requirements Specification Architecture Logic / Circuits Physical Design Fabrication Manufacturing Test Packaging Test PCB Test System Test PCB Architecture PCB Circuits PCB Physical Design PCB Fabrication Design and Test Development Customer Validate Verify Test

Robust Low Power VLSI Outline 4 BIST: Basic functionality Goal of the paper Results Stressing the bitcell [4] Discussion questions

Robust Low Power VLSI Memory BIST 5 Basic functionality Algorithms (Hard coded) + Decoding Logic + Start/Stop Algo Control logic + Bitmap for Failure Analysis (process maturity) Input Generator (Address, Data, Rd/Wr Control) Memory Under Test Output Comparator + Error Flag Generator

Robust Low Power VLSI Memory BIST 6 Goal of the paper: A comparative study on the effects of resistive bridging defects in the SRAM. Knobs: -Defect resistance size -Power supply -Memory size -Temperature -Technology

Robust Low Power VLSI Memory BIST 7 Fault Modeling Flow Fault Detection Defect locations extraction from the layout Looking at adjacent lines of the same metal layer or between metal layers Fault Simulation Electrical simulations of defects That leads to a faulty behavior of the SRAM Fault Modeling Modeling of the faulty behavior Functional representation of faulty behavior. Algorithm Generation of effective test algorithms Sequence of Wr/Rd pattern to detect the fault.

Robust Low Power VLSI Memory BIST 8  Targeted Fault: Resistive – Resistive Bridging Fault GroupFaultImpact Group_1Df1 – Df3Single Cell Group_2Df4 – Df5Double cells

Robust Low Power VLSI Memory BIST 9  Targeted Fault: Resistive – bridging Fault Technology Supply VoltageTemp. LowNom.High -40°C, - 25°C, and - 125°C 90nm nm nm

Robust Low Power VLSI Results 10 Fault Name NSFNo Store Fault RDFRead Destructive Fault WRFWeak Read Fault SAFStruck-at Fault IRFIncorrect Read Fault TFTransition Fault CFdsDisturb Coupling Fault  Higher values of resistances are susceptible to bridging defects (range increased).  Smaller technology are more susceptible to faults

Robust Low Power VLSI Results 11 Worst Case Condition(40nm)

Robust Low Power VLSI Results 12

Robust Low Power VLSI Case Study (1) 13 Impact of Df1: (inside the cell) -Target Fault: Read Destructive Fault (RDF) (Read operation disturbs the content) -Aim: To find the range of defect values in which RDF occurs. -Test case: Perform a read operation in transient simulations varying the defect value of Df1. -Failure Metric: Read Noise Margin

Robust Low Power VLSI Case Study (1) 14 Impact of Df1: (inside the cell) Without Defect RSNM With Df1= 150KΩ

Robust Low Power VLSI Case Study (2) 15 Impact of Df5: (among cells) -Target Faults: Weak Read Fault (WRF) (insufficient ΔBL for SA) and Incorrect Read Fault (IRF) (returned value is wrong while cell content is correct) -Test case: Perform a read operation in transient simulations varying the defect value of Df5 while looking at the cell content. -Failure Metric: Read Noise Margin

Robust Low Power VLSI Case Study (2) 16 Impact of Df5: (among cells) 1.Normal Read Operation. 2. possible Weak Read Fault 3.Incorrect read Faults

Robust Low Power VLSI Stressing the Cell [4] 17 RB = Resistive Bridging Fault RO = Resistive Open Fault Setup: -Industry standard 6T cell layout of 40nm node -Supply Voltage: 1.1V & 1.0 -Assist Techniques: -Wordline reduction (WLR) (for read) -Negative Bitline(NBL) (for write) Goal: Find Worst case Configuration for Assist circuit (WCA)

Robust Low Power VLSI 18 Stressing the Cell [4]

Robust Low Power VLSI 19 Stressing the Cell [4]

Robust Low Power VLSI 20 Stressing the Cell [4]

Robust Low Power VLSI 21 The algorithm with assist configuration that exercise the worst case scenario for RO faults and RB faults. Stressing the Cell [4]

Robust Low Power VLSI Conclusion / Take away  Finding worst case PVT for particular technology helps reducing testing time by limiting the test run to the worst case corner rather validating across the corners.  Stressing the cell while testing finds failures those are difficult to observe otherwise. 22

Robust Low Power VLSI Discussion questions  How to evaluate the completeness of the fault simulation?  With possible non-uniform worst-case scenarios across different faults, how can we reduce the test-time?  Is there any design parameter (except Height) that impact the functionality?  Is there any other way to stress the cell for the testing without changing external inputs?  What type of tests an SRAM designer should performed preemptively to minimize the failures? 23

Robust Low Power VLSI Papers [1] Fonseca, R.A. ; Dilillo, L. ; Bosio, A. ; Girard, P. ; Pravossoudovitch, S. ; Virazel, A. ; Badereddine, N., "Analysis of resistive-bridging defects in SRAM core-cells: A comparative study from 90nm down to 40nm technology nodes", 15th European Test Symposium (ETS), 2010 pdfpdf [2] Van de Goor, A.J.; Gaydadjiev, G.N.; Mikitjuk, V.G.; Yarmolik, V.N., "March LR: a test for realistic linked faults", 14th VLSI Test Symposium, 1996 pdfpdf [3] Hamdioui, S.; Al-Ars, Z.; van de Goor, A.J.; Rodgers, M., "Linked faults in random access memories: concept, fault models, test algorithms, and industrial results," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2004 pdfpdf [4] Zordan, L.B.; Bosio, A.; Dilillo, L.; Girard, P.; Todri, A.; Virazel, A.; Badereddine, N., "On the reuse of read and write assist circuits to improve test efficiency in low-power SRAMs" ITC 2013 pdfpdf [5] Zordan, L.B.; Bosio, A.; Dililo, L.; Girard, P.; Todri, A.; Virazel, A.; Badereddine, N., "Low-power SRAMs power mode control logic: Failure analysis and test solutions" International Test Conference (ITC), 2012 pdfpdf 24