Lecture #6 Page 1 Lecture #6 Agenda 1.VHDL - Architecture 2.VHDL - Packages Announcements 1.HW #3 assigned ECE 4110– Sequential Logic Design.

Slides:



Advertisements
Similar presentations
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Advertisements

1 Introduction to VHDL (Continued) EE19D. 2 Basic elements of a VHDL Model Package Declaration ENTITY (interface description) ARCHITECTURE (functionality)
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Lecture #4 Page 1 ECE 4110–5110 Digital System Design Lecture #4 Agenda 1.VHDL History 2.Design Abstraction Announcements 1.n/a.
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
EE 261 – Introduction to Logic Circuits Module #5 Page 1 EE 261 – Introduction to Logic Circuits Module #5 - VHDL Topics A.Hardware Description Languages.
History TTL-logic PAL (Programmable Array Logic)
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Sistemas Digitais I LESI - 2º ano Lesson 5 - VHDL U NIVERSIDADE DO M INHO E SCOLA DE E NGENHARIA Prof. João Miguel Fernandes Dept.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
EELE 367 – Logic Design Module 3 – VHDL Agenda
Introduction to VHDL By Mr. Fazrul Faiz Zakaria School of Computer and Communication Engineering UniMAP.
1 Data Object Object Types A VHDL object consists of one of the following: –Signal, Which represents interconnection wires that connect component instantiation.
George Mason University Modeling of Arithmetic Circuits ECE 545 Lecture 7.
IAY 0600 Digital Systems Design
1 Part I: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
Introduction to VHDL Arab Academy for Science, Technology & Maritime Transport Computer Engineering Department Magdy Saeb, Ph.D.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
VHDL Very High Speed Integrated Circuit Hardware Description Language Shiraz University of shiraz spring 2011.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
ECE 332 Digital Electronics and Logic Design Lab Lab 6 Concurrent Statements & Adders.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
EE3A1 Computer Hardware and Digital Design Lecture 2 Introduction to VHDL.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Lecture #7 Page 1 Lecture #7 Agenda 1.VHDL Data Types Announcements 1.n/a ECE 4110– Digital Logic Design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Lecture #9 Page 1 Lecture #9 Agenda 1.VHDL : Structural Design Announcements 1.n/a ECE 4110– Digital Logic Design.
Digital System Projects
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
EE121 John Wakerly Lecture #17
BASIC VHDL LANGUAGE ELEMENTS Digital Design for Instrumentation with VHDL 1.
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
Lecture #8 Page 1 Lecture #8 Agenda 1.VHDL : Operators 2.VHDL : Signal Assignments Announcements 1.HW #4 assigned ECE 4110– Sequential Logic Design.
Lecture #10 Page 1 Lecture #10 Agenda 1.VHDL : Concurrent Signal Assignments 2.Decoders using Structural VHDL Announcements 1.HW #4 due 2.HW #5 assigned.
EGRE 6311 LHO 04 - Subprograms, Packages, and Libraries EGRE 631 1/26/09.
Lecture #12 Page 1 ECE 4110– Digital Logic Design Lecture #12 Agenda 1.VHDL : Behavioral Design (Processes) Announcements 1.n/a.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Lecture #11 Page 1 Lecture #11 Agenda 1.Decoders using Structural VHDL 2.VHDL : Generics and Constants Announcements 1.n/a ECE 4110– Digital Logic Design.
Unit 7 Mixed Language Descriptions SYLLABUS Highlights of Mixed-Language Description, How to invoke One language from the Other Mixed-language Description.
An Introduction to V.H.D.L.. Need of a Compiler… main( ) { int x=10,y=20,z; z = x + y ; printf ( “ %d “, z ); getch( ) ; } What’s That ? Give me only.
A Case Study of the Rehosting from VHDL to Matlab/C
Basic Language Concepts
Subject Name: FUNDAMENTALS OF HDL Subject Code: 10EC45
ECE 4110 – Digital Logic Design
VHDL Basics.
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
Structural style Modular design and hierarchy Part 1
HDL Programming Fundamentals
ECE 4110–5110 Digital System Design
Structural style Modular design and hierarchy Part 1
CPE 528: Lecture #3 Department of Electrical and Computer Engineering University of Alabama in Huntsville.
VHDL Introduction.
Design units Lecture 2.
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

Lecture #6 Page 1 Lecture #6 Agenda 1.VHDL - Architecture 2.VHDL - Packages Announcements 1.HW #3 assigned ECE 4110– Sequential Logic Design

Lecture #6 Page 2 VHDL Constructs Systems in VHDL - Systems need to have two things described 1) Interface (I/O, Ports…) 2) Behavior ( Functionality, Structure) - In VHDL, we do this using entity and architecture Entity - used to describe a system's interface - we call the Inputs and Outputs "Ports" - creating this in VHDL is called an "Entity Declaration" Architecture - used to describe a system's behavior (or structure) - separate from an entity - an architecture must be tied to an entity - creating this in VHDL is called an "Architecture Definition" adder.vhd entity declaration architecture definition

Lecture #6 Page 3 VHDL Entity Review Entity Syntax Review entity entity-name is port (signal-name : mode signal-type; signal-name : mode signal-type; signal-name : mode signal-type); end entity entity-name; ex) entity adder is port (In1, In2 : in bit; Out1 : out bit); end entity adder; In1 Out In2 Adder

Lecture #6 Page 4 VHDL Architecture Architecture Details - an architecture is always associated with an entity (in the same file too) - an architecture definition must possess the following: 1) architecture-name - user selected, different from entity - we usually give something descriptive (adder_arch, and2_arch) - some companies like to use "behavior", "structural" as the names 2) entity-name - the name of the entity that this architecture is associated with - must already be declared before compile 3) optional items… - types - signals : internal connections within the architecture - constants - functions : calling predefined blocks - procedures : calling predefined blocks - components : calling predefined blocks 4) end architecture - keywords to signify the end of the definition - we follow this by the architecture name and ";"

Lecture #6 Page 5 VHDL Architecture Architecture Syntax architecture architecture-name of entity-name is type… signal… constant… function… procedure… component… begin …behavior or structure end architecture architecture-name; NOTE: - the keywords are architecture, of, is, type…component, begin, end - there is a ";" at the end of the last line

Lecture #6 Page 6 VHDL Architecture Architecture definition of an AND gate architecture and2_arch of and2 is begin Out1 <= In1 and In2; end architecture and2_arch; Architecture definition of an ADDER architecture adder_arch of adder is begin Out1 <= In1 + In2; end architecture adder_arch; In1 Out In2 Adder

Lecture #6 Page 7 VHDL Packages VHDL is a "Strong Type Cast" language… - this means that assignments between different data types are not allowed. - this means that operators must be defined for a given data types. - this becomes important when we think about synthesis ex) string + real = ??? - can we add a string to a real? - what is a "string" in HW? - what is a "real" in HW? - VHDL has built-in features: 1) Data Types 2) Operators - built-in is also called "pre-defined"

Lecture #6 Page 8 VHDL Packages Pre-defined Functionality ex) there is a built in addition operator for integers integer + integer = integer - the built-in operator "+" works for "integers" only - it doesn't work for "bits" as is Adding on Functionality - VHDL allows us to define our own data types and operators - a set of types, operators, functions, procedures… is called a "Package" - A set of packages are kept in a "Library"

Lecture #6 Page 9 VHDL Packages IEEE Packages - when functionality is needed in VHDL, engineers start creating add-ons using Packages - when many packages exist to perform the same function (or are supposed to) keeping consistency becomes a problem - IEEE publishes "Standards" that give a consistent technique for engineers to use in VHDL - we include the IEEE Library at the beginning of our VHDL code syntax: library library-name - we include the Package within the library that we want to use syntax: use library-name.package.function - we can substitute "ALL" for "function" if we want to include everything

Lecture #6 Page 10 VHDL Packages Common IEEE Packages - in the IEEE library, there are common Packages that we use: STD_LOGIC_1164 STD_LOGIC_ARITH STD_LOGIC_SIGNED Ex)library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; - libraries are defined before the entity declaration

Lecture #6 Page 11 VHDL Design Let's Put it all together now… library IEEE; -- package use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity and2 is-- entity declaration port (In1, In2: in STD_LOGIC; Out1: out STD_LOGIC); end entity and2; architecture and2_arch of and2 is -- architecture definition begin Out1 <= In1 and In2; end architecture and2_arch;

Lecture #6 Page 12 VHDL Design Another Example… library IEEE; -- package use IEEE.STD_LOGIC_1164.ALL; entity inv1 is-- entity declaration port (In1: in STD_LOGIC; Out1: out STD_LOGIC); end entity inv1; architecture inv1_arch of inv1 is -- architecture definition begin Out1 <= not In1; end architecture inv1_arch; The Pre-defined features of VHDL are kept in the STANDARD library - but we don't need to explicitly use the STANDARD library, it is automatic