Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.

Slides:



Advertisements
Similar presentations
Programmable FIR Filter Design
Advertisements

Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Sumitha Ajith Saicharan Bandarupalli Mahesh Borgaonkar.
Design Goal Design an Analog-to-Digital Conversion chip to meet demands of high quality voice applications such as: Digital Telephony, Digital Hearing.
Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Random Number Generator Dimtriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager- Thiago Hersan.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
Team M1 Enigma Machine Milestone 5 Adithya Attawar (M11) Shilpi Chakrabarti (M12) Zavo Gabriel (M13) Mike Sokolsky (M14) Design Manager: Prateek Goenka.
1 4-bit Decimation Filter Rashmi Joshi Siu Kuen(Steve) Leung Cuong Trinh Advisor: Dr. David Parent December 5, 2005.
Viterbi Decoder: Presentation #10 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 10: 5 th April Final Design Corrections.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
1 Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
1 Farhan Mohamed Ali (W2-1) Jigar Vora (W2-2) Sonali Kapoor (W2-3) Avni Jhunjhunwala (W2-4) Presentation 7 MAD MAC th March, 2006 Functional Block.
Noise Canceling in 1-D Data: Presentation #13 Seri Rahayu Abd Rauf Fatima Boujarwah Juan Chen Liyana Mohd Sharipp Arti Thumar M2 April 20 th, 2005 Short.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
Team W3: Anthony Marchetta Derek Ritchea David Roderick Adam Stoler Milestone 3: Feb. 4 th Size Estimates/Floorplan Overall Project Objective: Design an.
Viterbi Decoder: Presentation #11 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 11: 12 th April 2004 Short Final Presentation.
Huffman Encoder Project. Howd - Zur Hung Eric Lai Wei Jie Lee Yu - Chiang Lee Design Manager: Jonathan P. Lee Huffman Encoder Project Final Presentation.
Team W3: Anthony Marchetta Derek Ritchea David Roderick Adam Stoler Milestone 10: April 5th Chip Level Simulation Overall Project Objective: Design an.
1 Team M1 Enigma Machine 3rd May, 2006 Adithya Attawar (M11) Shilpi Chakrabarti (M12) Mike Sokolsky (M14) Design Manager: Prateek Goenka Adithya Attawar.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage IX: March 30 th 2004.
[M2] Traffic Control Group 2 Chun Han Chen Timothy Kwan Tom Bolds Shang Yi Lin Manager Randal Hong Wed. Oct. 27 Overall Project Objective : Dynamic Control.
1 ACS Unit of Viterbi Decoder Audy,Garrick Ng, Ichang Wu, Wen-Jiun Yong Advisor: Dave Parent Spring 2005.
E-Voting Machine - Design Presentation Group M1 Bohyun Jessica Kim Jonathan Chiang Chi Ho Yoon Donald Cober Mon. Sept 29 System Hardware Component Diagram.
Architecture for Network Hub in 2011 David Chinnery Ben Horowitz.
1 Farhan Mohamed Ali (W2-1) Jigar Vora (W2-2) Sonali Kapoor (W2-3) Avni Jhunjhunwala (W2-4) Presentation 5 MAD MAC nd February, 2006 Top Level Integration.
Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Team W3: Anthony Marchetta Derek Ritchea David Roderick Adam Stoler Milestone 9: March 31st Chip Level Simulatio Overall Project Objective: Design an Air-Fuel.
RF Triangulation: Indoor/Outdoor Location Finding Chip Giovanni Fonseca David Fu Amir Ghiti Stephen Roos Design Manager: Myron Kwai Overall Project.
1 Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage VII: March 1 st 2004.
S. Reda EN160 SP’07 8-bit MIPS Processor EN160 Class Project May 2007.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage VIII: March 24 th 2004.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
High Dynamic Range Emeka Ezekwe M11 Christopher Thayer M12 Shabnam Aggarwal M13 Charles Fan M14 Manager: Matthew Russo 6/26/
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Sprinkler Buddy Presentation #8: “Testing/Finalization of all Modules and Global Placement” 3/26/2007 Team M3 Kartik Murthy Panchalam Ramanujan Sasidhar.
1. 2 Farhan Mohamed Ali Jigar Vora Sonali Kapoor Avni Jhunjhunwala 1 st May, 2006 Final Presentation MAD MAC 525 Design Manager: Zack Menegakis Design.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
E-Voting Machine - Design Presentation Group M1 Bohyun Jessica Kim Jonathan Chiang Chi Ho Yoon Donald Cober Mon, Nov 10 Analog Simulation for ExtractedRC.
1 DESIGN OF 8-BIT ALU Vijigish Lella Harish Gogineni Bangar Raju Singaraju Advisor: Dr. David W. Parent 8 May 2006.
1 Farhan Mohamed Ali (W2-1) Jigar Vora (W2-2) Sonali Kapoor (W2-3) Avni Jhunjhunwala (W2-4) Presentation 8 MAD MAC nd March, 2006 Functional Block.
Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
1 8 Bit ALU EE 166 Design Project San Jose State University Roger Flores Brian Silva Chris Tran Harizo Yawary Advisor: Dr. Parent May 2006.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Random Number Generator Jesse Guss David Levitt Sirisha Pillalamarri Matt Russo Dimtriy Solmonov.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
Camera Auto Focus Group W1 Tom Goff Dave Hwang Kate Killfoile Greg Look Design Manager: Bowei Gai Final Presentation, April 30 th, 2007 Project Objective:
1 Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Network-on-Chip: Communication Synthesis Department of Computer Science Texas A&M University.
Random Number Generator Dimtriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
1 Farhan Mohamed Ali (W2-1) Jigar Vora (W2-2) Sonali Kapoor (W2-3) Avni Jhunjhunwala (W2-4) Presentation 9 MAD MAC th March, 2006 Functional Block.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
Review: Basic Building Blocks  Datapath l Execution units -Adder, multiplier, divider, shifter, etc. l Register file and pipeline registers l Multiplexers,
SHA-3 Candidate Evaluation 1. FPGA Benchmarking - Phase Round-2 SHA-3 Candidates implemented by 33 graduate students following the same design.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
VLIW Digital Signal Processor Michael Chang. Alison Chen. Candace Hobson. Bill Hodges.
Basics of Energy & Power Dissipation
DSP Architectures Additional Slides Professor S. Srinivasan Electrical Engineering Department I.I.T.-Madras, Chennai –
CMOS VLSI Design MIPS Processor Example
CDA 4253 FPGA System Design RTL Design Methodology 1 Hao Zheng Comp Sci & Eng USF.
Design of OCDMA Demonstrator Yun Ping Yang, Alireza Hodjat, Herwin Chan, Eric Chen, Josh Conway.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
Alpha Blending and Smoothing
Preliminary design of the behavior level model of the chip
Presentation transcript:

Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan May 1, 2006

2 Why Random Numbers? Real-Time Simulations Encryption Gambling

3 Encryption Need random numbers for authentication Key generation Software vs. Hardware –Less power/time per number –Portable Gambling ePoker Rooms SoC Deck Generation Other future casino games

4 Business Plan Potential markets Defense and Intelligence Organizations E-Gambling / Casinos Game Consoles Mobile Communication License the IP Our design will be part of a larger ASIC or GPP design

5 IBAA Algorithm Uses RC4 encryption algorithm –Cryptographically secure –Deterministic 1024-bit number generated Internally Updated Seed –not user visible = secure

6 #define ALPHA (8) #define SIZE (1<<ALPHA) #define ind(x) ((x)&(0x1F)) #define barrel(a) (((a)<<19)^((a)13)) uint32 A, B, Y, X; uint32 M[32], R[32]; … for ( i=0; i<SIZE; i++ ) { X = m[ind(i)]; A = barrel(A) + M[ind(i +16)]; M[ind(i)] = Y = M[ind(X)] + A + B; R[ind(i)] = B = M[ind(Y>>ALPHA)] + X; } The IBAA Algorithm

Architecture

8 for ( i=0; i<SIZE; i++ ) { X = M[ind(i)]; A = barrel(A) + M[ind(i +16)]; M[ind(i)] = Y = M[ind(X)] + A + B; R[ind(i)] = B = M[ind(Y>>ALPHA)] + X; } IBAA Algorithm to Architecture 4 Reads from M 1 Write to M 1 Write to R dependencies, feedback, and RAW hazards

9 Algorithm to Architecture Hardware Limits –Max. of 2 simultaneous reads from memory Can’t do better than two stages Each stage must take multiple cycles to complete

10 Chosen Timing –Addition = 1 cycle –Memory Read = 0.5 cycles –Memory is clocked ½ period off phase –Set address and receive data in 1 cycle When forwarding is applied, need 4 cycles per stage Algorithm to Architecture

11 SRAM (M) SRAM (R) FSM Adder Counter Control Logic Register Counter Adder (X) Reg (B) Reg (Y) Reg Adder (Y1) Reg Adder (A) Reg Stage M1 = M[i+16] X = M[i] | A = M1 + barrel (A) M3 = M[X] | C 1 = (X==i-1) Y1 = A + (C 1 ) ? Y : M3 Stage Y = B + Y M4 = M[Y addr ] | C 2 = (i==Y addr ) B = X + (C 2 ) ? Y : M M[i] = Y | R[i] = B (M4) Reg (M1) Reg (M2) Reg (M3) Reg

Design For Manufacture Regular Fabrics

13

14

15

16 Why DFM? Ability to print on smaller processes Robust Manufacturability Sacrifice area, speed and metal layers for a regular design

17 Sample Layout: Regular Fabrics

18 Lithography Simulations

Hardware

20 Adder Four adders execute 256 times. Hybrid adder Fast and low power. CS4CS18CS6CS4 A[3:0]B[3:0]A[9:4]B[9:4] A[27:10]B[27:10] A[31:28]B[31:28] S[31:28]S[27:10]S[9:4]S[3:0] C’[4]C[10]C’[28]C[32]

21 32-Bit Adder: First 4 Bits

22 32-Bit Adder: CS6 Block

23 32-Bit Adder: CS18 Block

24 32 Bit Fast Adder

25 Adder Performance Delay: 1.56 ns Energy Consumption –(worst case switching) : 12.4 pJ Power Dissipation –(estimating with our switch factor) : 148 μW

26 SRAM Single Bus Cell Double Bus Cell

27 SRAM

28 Functional Verification Structural Verilog vs. C Code: –Generate numbers under equal load conditions –Compare Numbers Schematic vs. Structural Verilog –Under equal inputs, check if port outputs match LVS

29 Verification Schematic and Extracted Parasitic spice simulations of major blocks –Check for clean signals –Check delays and rise/fall times Extracted Parasitic simulation of critical Register-Register Path –Signals are clean –Delay = 2.1 ns Extracted Parasitic simulation of chip clock distribution

30 Critical Delay

31 Final Layout

32 Poly Density 7.52% Metal1 Density %

33 Metal2 Density 19.89% Metal3 Density 18.76%

34 Metal5 Density 6.8% Metal4 Density 9.36%

Analysis

36 Specifications Pins –36 input pins 32 bit seed input, gen, read, rst, clk –34 output pins 32 bit random output, rdy, done –2 input/output pins vdd, gnd 475 MHz chip speed 436 KHz throughput

37 Part Trans Count Area (um 2 ) Density Prop Delay (ns) Power (1x) (mW) 500MHz Power (Avg) (mW) 475 MHz Adders (4) 5,856 (1,464 ea.) 25,200 (6,300 ea.) SRAM (M&R) 17,736 (M=10,458 R=7,278) 51,000 (M=35,000 R=16, (M=0.293 R=0.456) W: 0.51 W: 3.25 R: 0.19 R: Regs (10) 6,400 (640 ea.) 38,400 (3,840 ea.) Total 33,371182, ns 475 MHz mW Putting it All Together Schematic ExtractRC

38 Performance Comparison Operation Time (ms) ~4,000,000 Runs Intel P GHz (90 nm)5000 W MHz (180 nm)9000 AMD Opteron Blade GHz ()14000 ARM Intel XScale 700 MHz ()125000

39 Where to Now ? ERC, tapeout, etc. Thermal noise unit to use as input seed On-Chip Bus Interface HyperTransport™ Interface

40 References Jenkins, Robert J. “ISAAC”. Chirca, Schulte, Glossner, et al. “A Static Low-Power, High-Performance 32-bit Carry Skip Adder” pdf “CLA and Ling Adders”.

41 Questions