Generic Multiplexers: Parameters Discussion D7.5 Example 8.

Slides:



Advertisements
Similar presentations
//HDL Example 8-2 // //RTL description of design example (Fig.8-9) module Example_RTL (S,CLK,Clr,E,F,A);
Advertisements

Counters Discussion D8.3.
Verilog in transistor level using Microwind
CPSC 321 Computer Architecture Andreas Klappenecker
CDA 3100 Recitation Week 11.
//HDL Example 4-10 // //Gate-level description of circuit of Fig. 4-2 module analysis (A,B,C,F1,F2); input.
Verilog.
Supplement on Verilog adder examples
EE 361 Fall 2003University of Hawaii1 Hardware Design Tips EE 361 University of Hawaii.
Verilog Modules for Common Digital Functions
CPEN Digital System Design
Table 7.1 Verilog Operators.
COE 405 Design and Synthesis of DataPath Controllers Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals.
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
Case Study VLSI 系統設計與高階合成           + : delay : multiplier: adder … … + … … FIR Filter tap=4 IIR Case - Filter (1/8)
//HDL Example 5-1 // //Description of D latch (See Fig.5-6) module D_latch (Q,D,control); output Q; input.
Verilog. 2 Behavioral Description initial:  is executed once at the beginning. always:  is repeated until the end of simulation.
FSM Revisit Synchronous sequential circuit can be drawn like below  These are called FSMs  Super-important in digital circuit design FSM is composed.
//HDL Example 6-1 // //Behavioral description of //Universal shift register // Fig. 6-7 and Table 6-3 module shftreg.
How to get a Circuit in verilog converted to hspice, connected to the micron package models, and simulating in hspice and hsimplus.
Latches and Flip-Flops Discussion D8.1 Section 13-9.
FSM examples.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
7-Segment LED Display DD: Section Mano: Section 3.10.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
Edge-Triggered D Flip-Flops
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Pulse-Width Modulated DAC
Verilog and VeriWell Bo Cheng.
Ring Counter Discussion 11.3 Example 32.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Arbitrary Waveform Discussion 12.2 Example 34. Recall Divide-by-8 Counter Use q2, q1, q0 as inputs to a combinational circuit to produce an arbitrary.
Counters Discussion 12.1 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
4-to-1 Multiplexer: Module Instantiation Discussion D7.2 Example 5.
CS 61C Discussion 10 (1) Jaein Jeong Fall input MUX °Out = in0 * select’ + in1 * select in0in1selectout
A/D Converter Datapaths Discussion D8.4. Analog-to-Digital Converters Converts analog signals to digital signals –8-bit: 0 – 255 –10-bit: 0 – 1023 –12-bit:
Registers and Shift Registers Discussion D8.2. D Flip-Flop X 0 Q 0 ~Q 0 D CLK Q ~Q D gets latched to Q on the rising edge of the clock. Positive.
Multiplexers Lecture L6.6v Section 6.2. Multiplexers A Digital Switch A 2-to-1 MUX A 4-to-1 MUX A Quad 2-to-1 MUX The Verilog if…else Statement TTL Multiplexer.
Introduction to Verilog Multiplexers. Introduction to Verilog Verilog Hardware Description Language (Verilog HDL) released by Gateway Design Automation.
Top-level Verilog Designs Discussion D9.1 Example 12.
D Flip-Flops in Verilog Discussion 10.3 Example 27.
Quad 2-to-1 Multiplexer Discussion D7.4 Example 7.
7-Segment Display DIO1 Board Verilog.
Engineering 100 Section 250 Combinational Logic -- Examples 9/13/2010.
Figure 7.1. Control of an alarm system. Memory element Alarm Sensor Reset Set OnOff 
Verilog Intro: Part 2. Procedural Blocks There are two types of procedural blocks in Verilog. – initial for single-pass behavior: initial blocks execute.
Figure 6.1. A 2-to-1 multiplexer.
Week Four Design & Simulation Example slides. Agenda Review the tiny example (Minako “logic”)from last week – look at the detailed static timing report.
Workshop Topics - Outline
Module 2.1 Gate-Level/Structural Modeling UNIT 2: Modeling in Verilog.
Traffic Lights Discussion D8.3a. Recall Divide-by-8 Counter Use Q2, Q1, Q0 as inputs to a combinational circuit to produce an arbitrary waveform. s0 0.
Use of HDLs in Teaching of Computer Hardware Courses Zvonko Vranesic and Stephen Brown University of Toronto.
Figure ASM chart for the bit counter.. Figure Verilog code for the bit-counting circuit (Part a). module bitcount (Clock, Resetn, LA, s,
Component Design By the end of the course each student will design and test a MIPS processor Datapath components will be designed and tested in the next.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Figure 7.6. Gated SR latch. (a) Circuit Q Q R S R S R Clk Q Q S Time (c) Timing diagram Clk ? ? SR xx Q(t) (no change) 0 1.
Multiplexers Section Topics Multiplexers – Definition – Examples – Verilog Modeling.
Figure Implementation of an FSM in a CPLD..
Lecture 2 Supplement Verilog-01
The Multiplexer Multi what?
Pulse-Width Modulation (PWM)
A register design with parallel load input
Бази от данни и СУБД Основни понятия инж. Ангел Ст. Ангелов.
Supplement on Verilog adder examples
The Verilog Hardware Description Language
Test Fixture Template module testfixture ; // data type declaration
//HDL Example 7-1 // //Read and write operations of memory. //Memory size is 64 words of 4 bits each. module.
Presentation transcript:

Generic Multiplexers: Parameters Discussion D7.5 Example 8

A Generic 2-to-1 MUX // Example 8a: Generic 2-to-1 MUX using a parameter module mux2g #(parameter N = 4) (input wire [N-1:0] a, input wire [N-1:0] b, input wire s, output reg [N-1:0] y ); if(s == 0) y = a; else y = b; endmodule

// Example 8b: 8-line 2-to-1 MUX using a parameter module mux28( input wire [7:0] a, input wire [7:0] b, input wire s, output wire [7:0] y ); defparam M8.N = 8; mux2g M8 (.a(a),.b(b),.s(s),.y(y) ); endmodule N = 8

Aldec Active-HDL Simulation