Fundamentals of Electrical Testing

Slides:



Advertisements
Similar presentations
Introduction to DFT Alexander Gnusin.
Advertisements

IC TESTING.
Digital Integrated Circuits© Prentice Hall 1995 Design Methodologies Design for Test.
BOUNDARY SCAN.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Introduction to CMOS VLSI Design Lecture 17: Design for Testability David Harris Harvey Mudd College Spring 2004.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Slides based on Kewal Saluja
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
EE466: VLSI Design Lecture 17: Design for Testability
Lecture 28 IEEE JTAG Boundary Scan Standard
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 301 Lecture 30 IEEE JTAG Analog Test Access Port and Standard n Motivation n Bus overview n.
1 Presented by Yifat Kapach jtag course What is SCITT? Static Component Interconnection Test Technology Standard IEEE P1581.
Design for Testability
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
ELEN 468 Lecture 241 ELEN 468 Advanced Logic Design Lecture 24 Design for Testability.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
1 Introduction VLSI Testing. 2 Overview First digital products (mid 1940's) Complexity:low MTTF:hours Cost:high Present day products (mid 1980's) Complexity:high.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
Testing of Logic Circuits. 2 Outline  Testing –Logic Verification –Silicon Debug –Manufacturing Test  Fault Models  Observability and Controllability.
TAP (Test Access Port) JTAG course June 2006 Avraham Pinto.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
BIST vs. ATPG.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Scan and JTAG Principles1 Scan and JTAG Principles ARM Advanced RISC Machines.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Fault Modeling.
EE 447/EE547 1 VLSI DESIGN Lecture 10 Design for Testability.
Department of Computer Systems / TKT Design for Testability / O. Vainio Motivation  Testability is an important quality metric in electronic.
Introduction to CMOS VLSI Design Test. CMOS VLSI DesignTestSlide 2 Outline  Testing –Logic Verification –Silicon Debug –Manufacturing Test  Fault Models.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Modern VLSI Design 3e: Chapter 5,6 Copyright  2002 Prentice Hall PTR Adapted by Yunsi Fei Topics n Sequential machine (§5.2, §5.3) n FSM construction.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
CSE477 L28 DFT.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 28: Design for Test Mary Jane Irwin ( )
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
Testing of Digital Systems: An Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman.
Logic BIST Logic BIST.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Test and Test Equipment Joshua Lottich CMPE /23/05.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Boundary Scan.
TOPIC : Different levels of Fault model UNIT 2 : Fault Modeling Module 2.1 Modeling Physical fault to logical fault.
April 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 281 Lecture 28 IEEE JTAG Boundary Scan Standard n Motivation n Bed-of-nails tester n System view.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University
TOPIC : Controllability and Observability
CS/EE 3700 : Fundamentals of Digital System Design
Jan. 26, 2001VLSI Test: Bushnell-Agrawal/Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault models.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
© LATTICE SEMICONDUCTOR CORPORATION 2000 Uudet mikropiirit JTAG February Lattice Confidential Lattice Semiconductor The Leader in ISP TM PLDs Presents.
Lecture 5: Design for Testability. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 12: Design for Testability2 Outline  Testing –Logic Verification –Silicon.
EE3A1 Computer Hardware and Digital Design Lecture 13 Detecting faults in Digital Systems.
VLSI Testing Lecture 14: System Diagnosis
COUPING WITH THE INTERCONNECT
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 2)
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
ECE 434 Advanced Digital System L18
Lecture 12: Design for Testability
VLSI Testing Lecture 15: System Diagnosis
ELEC Digital Logic Circuits Fall 2014 Logic Testing (Chapter 12)
CPE/EE 428/528 VLSI Design II – Intro to Testing
Lecture 12: Design for Testability
Design for Testability
Lecture 12: Design for Testability
CPE/EE 422/522 Advanced Logic Design L17
VLSI Testing Lecture 3: Fault Modeling
Lecture 26 Logic BIST Architectures
Presentation transcript:

Fundamentals of Electrical Testing Derek Lindberg Jason Shin

What and Why? Electrical testing is intended to guarantee that a given device is electrically functional before end-product use. Defects may be introduced at any point in the process Result from inadequate process control Shipping damage 11/5/2007 Electrical Testing

A Typical Testing Process 11/5/2007 Electrical Testing

Common Faults Physical Faults: Classified as permanent or transient Stuck-at-one or stuck-at-zero Open faults Latent faults CMOS transistor stuck-on Adjacent bridging Partially conducting transistors Resistive bridges Classified as permanent or transient 11/5/2007 Electrical Testing

No process is capable of 100% yield! Numbers DL = 1-Y(1-C) DL: Defect Level is the fraction of all defect parts that pass testing Y: Yield is the fraction of all parts that are good C: Fault Coverage is the fraction of all possible faults detected by tests No process is capable of 100% yield! DL is usually specified in Defects Per Million: Example: Y=90%, C=99.8% DL=200 DPM 11/5/2007 Electrical Testing

Some Yield Examples “With a chip like the Cell processor, you're lucky to get 10 or 20 percent. If you put logic redundancy on it, you can double that. It's a great strategy, and I'm not sure anyone other than IBM is doing that with logic. Everybody does it with DRAM. There are always extra bits in there for memory. People have not yet moved to logic block redundancy, though.” – Tom Reeves, IBM Vice President of Semiconductor and Technology Services Cell has 8 cores, only 7 are intended for use, 1 is a spare AMD announced a 3-core processor, purportedly faulty quad-cores 11/5/2007 Electrical Testing

Testability In order to test a system you must be able to activate its potential faults. Testability depends on system: Controllability: ease of stimulating the system Observability: ease of measuring responses Designers should “design-for-testability” (DFT) Minor circuit modifications can greatly enhance controllability and observability Testability changes can ultimately lead to lower production costs Most popular DFT techniques are scan design and built-in-self-test (BIST) 11/5/2007 Electrical Testing

Functional vs. Structural Testing Functional testing Run the CUT through all intended functionality Exhaustive Completely impractical for any complex system Structural testing Knowledge of the physical structure of the device is used to verify the CUT is constructed as designed CUT is partitioned into simpler subcircuits More efficient, but does not test actual functionality Can miss “secondary” effects such as noise Test procedures usually include a combination 11/5/2007 Electrical Testing

Testing Quiescent Power Supply Current (IDDQ) At-speed Testing Good at detecting CMOS stuck-on or bridging faults Power and an input signal is applied, any parts with excessive IDDQ may be damaged May supplant a later burn-in test At-speed Testing Detects timing failures at intended clock rate Partially conducting transistors and resistive bridges will statistically affect chip timing 11/5/2007 Electrical Testing

Testing Cont… DC and AC Testing DC tests involve measuring the system in a static state (i.e. no time varying inputs) AC tests involving some time varying input and measure a time varying output For digital CUT AC tests would measure parameters such as set-up, hold, and propagation delay times In general DC tests are easier (cheaper) Typically only CUT that pass DC tests are subjected to AC testing 11/5/2007 Electrical Testing

Testing Cont… Pass and Fail Tests Characterization Tests Refers to any absolute test resulting in the scrapping of any CUT to fail requirements Characterization Tests A parameter is measured that determines the quality of a part. Characterization tests are often used in early production to determine how to improve yield Offline and Online Testing Online testing refers to tests performed while the CUT is in use 11/5/2007 Electrical Testing

Testing Cont… Wafer Probe Testing Burn-In Electrical tests are applied to the wafer Usually just establishes functionality Performance is determined after packaging Results are referred to as Known Good Die (KGD) Burn-In CUT is subjected to elevated temperature and power supply voltages for and extended period of time Intended to reveal latent defects Accelerates “infant mortality” Burn-In is followed by electrical testing to identify failures 11/5/2007 Electrical Testing

Higher Level Testing Substrate Test Module Test System Interconnects on the substrate are tested for opens and shorts Module Test Testing of the assembled PCB as a unit System Entire assembled unit is tested for functinality 11/5/2007 Electrical Testing

Interconnect Tests Optical Inspection During fabrication, every layer is optically inspected by computer Defects may still be introduced during assembly A substrate test must be applied to find latent defects 11/5/2007 Electrical Testing

k depends on spacing and dielectric Capacitance Tests Capacitance testing can be used to find and identify latent failures Ci = kAi k depends on spacing and dielectric An interconnect with an open will have a capacitance less than expected An interconnect with a short will have a capacitance higher than expected 11/5/2007 Electrical Testing

Resistance Tests A small current is forced through an interconnect while the voltage across the interconnect is measured Can identify low-resistance opens and high-resistance shorts Always follows capacitance testing to verify faulty nets A “bed of nails” tester is used to minimize the need for mechanical movement of probes Alternative is the “flying probe” tester (30-100) times slower http://www.youtube.com/watch?v=AmH3GVajW5c 11/5/2007 Electrical Testing

Active Circuit Testing These steps are the key aspects of automatic test pattern generation (ATPG) Fault Models Purpose is to create a set of test patterns that detect as many manufacturing defects as possible Manufacturing defects classified in 3 groups Functional defects that include circuitry opens and shorts IDDQ defects that include CMOS stuck-on, CMOS stuck-open, and bridging At high speed defects (slow transistors and resistive bridges) Most popular fault model assumes that each defect will cause a node to be “stuck” at a fixed voltage level (Single-stuck fault – SSF model). Assumes that only one fault exists at a time SSF makes the problem of enumerating faults and developing electrical tests tractable. SSF only tests for some of the possible faults so it is usually accompanied by a variety other tests. 11/5/2007 Electrical Testing

Active Circuit Testing Fault Set In the structural fault model, the logic gates are assumed to be fault-free and only their interconnections are affected Fault set consists of all stuck-at-zero and stuck-at-one faults at all interconnects in a circuit. There are a significant number of faults that behave identically to other faults and can not be distinguished. The fault identification process reduces the faults to one equivalent fault in a process know as fault collapsing. The fault set can be further reduced by identifying those faults which dominate other faults. 11/5/2007 Electrical Testing

Active Circuit Testing Test Pattern Generation and Fault Simulation Many automatic test pattern generators employ the algorithm shown below for efficient test generation Three most popular fault simulation algorithms employed are: Parallel Deductive Concurrent Algorithms Test generation for a single fault involves two main steps Activating the fault site Propagating the faulty response to a primary output. 11/5/2007 Electrical Testing

Active Circuit Testing Automatic test pattern generation flow. 11/5/2007 Electrical Testing

Design for Testability: Scan Design Scan circuitry greatly enhances a design’s testability, facilitates faster and improved test generation, and reduces external tester usage. Internal Scan Involves the internal modification of a design’s circuitry to increase its testability. The goal of the scan design is to make a difficult-to-test sequential circuit behave like an easier-to-test combinational circuit. Operation as follows: Shift data into scan chains Apply stimulus to the primary inputs Measure primary outputs Pulse system clock to capture new values into scan cells Scan data out to measure captured values while loading new value to chains 11/5/2007 Electrical Testing

Design for Testability: Scan Design Circuit with both combinational and sequential scan elements 11/5/2007 Electrical Testing

Scan Design Internal Scan (Continued) Boundary Scan Basic Idea is to control and observe the values in all the design’s storage elements, so that all sequential circuit’s test generation and fault simulation tasks are as simple as those of a combinational circuit Boundary Scan Boundary scan (JTAG) is a DFT (Design for Testability) technique that facilitates the testing of PWB and MCM interconnect and chips. Stitches the input and output ports together into a long scan path. It associates a memory cell with each input and output of a chip. These memory cells are connected serially to form a shift register 11/5/2007 Electrical Testing

Scan Design Boundary Scan (Continued) The architectures also contains a four-port standard connection (the test access port – TAP) This provides access to this shift register and controls the various chip test modes. The TAP consists of four lines Test Clock (TCK) Test Mode Select (TMS) Test Data In (TDI) Test Data Out (TDO) Two most popular modes are INTEST and EXTEST EXTEST allows for off-chip circuitry and board-level interconnects. BYPASS, IDCODE, SAMPLE, PRELOAD are other modes. 11/5/2007 Electrical Testing

Scan Design Chip supporting boundary scan design and a boundary scan cell 11/5/2007 Electrical Testing

Scan Design Boundary scan chain in a board with three chips 11/5/2007 Electrical Testing

Built-in Self-Test (BIST) BIST is a structured DFT technique that places a device’s testing function within the device itself. They generate test patters and compare output responses for a dedicated piece of circuitry BIST architecture for digital circuits require an addition of three hardware blocks. A pattern generator A response analyzer A test Controller BIST is used extensively for at-speed testing of circuits Disadvantage is the use of silicon area to design test hardware 11/5/2007 Electrical Testing

Summary Electrical Test Interconnect test Approaches Basic concepts described Relationship of test to design flow explained Interconnect test Approaches Enumerated and contrasted Automatic test pattern generation Fault modeling Fault set construction Fault simulation Test vector generation Internal and Boundary Scans 11/5/2007 Electrical Testing

Future Trends Mixed Signal Tests System-on-Chip (SOC) Test Digital logic, embedded dynamic RAM, and Analog blocks on a single IC Each type requires different test sources and response analyzers External test equipment performs these functions but these may involve three different external testers System-on-Chip (SOC) Test Based on embedding cores to represent previously designed complex functional blocks. IEEE P1500 standard for embedded-core test is under development The goal is to ensure the test-friendliness of embedded cores from diverse vendors. 11/5/2007 Electrical Testing

Future Trends Embedded tester architecture for SOC 11/5/2007 Electrical Testing