VHDL-AMS VHDL-Analog and Mixed Signal Extensions.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

CMSC 611: Advanced Computer Architecture
COE 405 VHDL Basics Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh Computer Engineering.
Introduction To VHDL for Combinational Logic
Multiplexers Section 3-7 Mano & Kime. Multiplexers & Demultiplexers Multiplexers (Selectors) Lab 1 – Behavioral VHDL -- Multiplexers MUX as a Universal.
Diodes and diode equation
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
331 W05.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
VLSI System Design – ECES 681 Lecture: Interconnect -1 Prashant Bhadri Office: Rhodes Hall - 933C Department of ECECS, College of.
1 Adjoint Method in Network Analysis Dr. Janusz A. Starzyk.
Astable multivibrators I
Lecture 101 Capacitors (5.1); Inductors (5.2); LC Combinations (5.3) Prof. Phillips March 7, 2003.
Chapter 8 Copyright © The McGraw-Hill Companies, Inc. Permission required for reproduction or display.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Chapter 8 Copyright © The McGraw-Hill Companies, Inc. Permission required for reproduction or display.
VHDL Structured Logic Design School of Electrical Engineering University of Belgrade Department of Computer Engineering Ivan Dugic Veljko.
Introduction to Digital Design
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 2 A Circuit Design Example.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
© H. Heck 2008Section 5.41 Module 5:Advanced Transmission Lines Topic 4: Frequency Domain Analysis OGI ECE564 Howard Heck.
TDS8000 and TDR Considerations to Help Solve Signal Integrity Issues.
Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss EWME A. Shoufan Slide 1 ISS.
1 H ardware D escription L anguages Modeling Digital Systems.
DASC/DATC February 2001 Technology Leader in Mixed-Signal System Simulation VHDL RF & MW: Radio Frequency & Microwave Extensions to VHDL for Mixed Signal.
Chapter 7 Logic Circuits 1.State the advantages of digital technology compared to analog technology. 2. Understand the terminology of digital circuits.
EENG 2610: Circuit Analysis Class 11: Capacitor and Inductor Combinations RC Operational Amplifier Circuits Oluwayomi Adamo Department of Electrical Engineering.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Representing Numerical Data Analog Any signal that varies continuously over time Mechanical Pneumatic Hydraulic Electrical Digital Quantities are represented.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
ECE201 Lect-281 Capacitors (5.1); Inductors (5.2); Dr. S. M. Goodnick November 7, 2003.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Floyd, Digital Fundamentals, 10 th ed Digital Fundamentals Tenth Edition Floyd © 2008 Pearson Education Chapter 1.
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
School of Computer and Communication Engineering, UniMAP Mohd ridzuan mohd nor DKT 122/3 - DIGITAL SYSTEM I Chapter.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Digital Fundamentals Tenth Edition Floyd © 2008 Pearson Education Chapter 1.
University of Minnesota Duluth
1 Analog versus Digital Information-bearing signals can be either analog or digital. Analog signal takes on a continuous range of amplitude values. Whereas.
CAPACITANCE AND INDUCTANCE
IEEE DASC P WG Meeting - January 12, 2010http:// - 1 Overview of VPI-AMS for Verilog-AMS (1) ♦Extends VPI defined by IEEE Std.
Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014.
Integration Subcommittee May 26, 2010 Meeting David W. Smith IEEE DASC P Working Group
Different Types of Integrated Circuits. Introduction: Different Types of Integrated Circuits Every electronic appliance we use.
Guided by - Prof. N A Gajjar Prepared by : Hemaxi Halpati : Priyank Hirani : Manish Jatiya : Rakesh.
An Overview CS341 Digital Logic and Computer Organization F2003.
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EKT124 Digital Electronics 1 Introduction to Digital Electronics
ELECTRIC CIRCUITS EIGHTH EDITION
Teknik kendali.
Mixed-Digital/Analog Simulation and Modeling Research
Design Entry: Schematic Capture and VHDL
Diodes Introduction Textbook CD
Advanced Digital design
Hardware Description Languages
ECNG 1014: Digital Electronics Lecture 1: Course Overview
CPE 528: Lecture #3 Department of Electrical and Computer Engineering University of Alabama in Huntsville.
VHDL Introduction.
Digital Fundamentals Floyd Chapter 1 Tenth Edition
ELECTRONICS II 3rd SEMESTER ELECTRICAL
Fig. 4 Block diagram of system
Ultrasonic Rangefinder
N-port Network Port reference Line Impedance Port Voltage & Current.
EEL4712 Digital Design (VHDL Tutorial).
Chapter 3 Solid-State Diodes and Diode Circuits
Presentation transcript:

VHDL-AMS VHDL-Analog and Mixed Signal Extensions

2 Overview IEEE Std :  Extension to VHDL to support the description and simulation of analog and mixed-signal circuits and systems VHDL-AMS = IEEE Std IEEE Std  VHDL-AMS is a strict superset of IEEE Std  Any model valid in VHDL 1076 is valid in VHDL-AMS and yields the same simulation results

3 Why Needed? Many of today’s designs include at least some continuous characteristics:  System design −Mixed-signal electrical designs (Cell phones, …) −Mixed electrical/non-electrical designs (Music players, Digital Cameras, Samand) −Modeling design environment (Temperature, humidity, …)  Analog design −Analog behavioral modeling and simulation  Digital design: As frequency increases, and technology advances (DSM effects), digital circuits become more analog −Clock distribution (PLL’s, pulse shapers, oscillators) −Pad design (buffers, protection circuits) −Interconnect (become more like transmission lines) −Logic cells (become more like RF and microwave circuits) Designers want a uniform description language

4 When Digital Becomes Analog? Frequency (GHz)

5 Issues in Mixed-Signal Circuit Design As feature size decreases, RF circuit issues become dominant in both digital and analog circuits  Noise −Coupling noise −Component noise −Power supply and ground noise  Circuit parameters −Impedance mismatches −Gain  Major need for analysis methods and tools

6 Current Status of Mixed-Signal Design Fabrication technology:  Current technology supports mixed-signal circuits on a chip  And even mixed electro-mechanical systems on a chip (MEMS) Design tools:  Analog and Mixed-Signal (AMS) modeling and simulation  AMS synthesis (still in research stage)

7 Advantages of Verification Advantages of Modeling and Simulation:  Early error detection  Fine tuning the design based on verification output  Reliable time metrics can be obtained

8 Simulation in an M-S Environment Challenges:  Multiple domains, multiple abstraction levels  Simulation cycle handles notion of time in discrete and continuous values  Separate simulation engines, working with the same set of signals

9 Highlights of VHDL-AMS  Inclusion of continuous valued “quantities”  Allows design entry at the behavioral or structural levels  Analog solution based on numerical integration −Continuous models based on “differential algebraic equations” (DAE)

10 Nature Definition:  Nature represents a physical discipline/energy domain Samples:  Electrical  thermal,  fluidic,  magnetic,  ….

11 Terminal Terminal:  Represents a node in an electrical circuit

12 Quantity  Represents an unknown in the set of DAEs  May be the value (e.g. voltage level) across or through two terminals.  Continuous-time waveform.  For any quantity Q, the attribute name Q’Dot denotes the derivative of Q w.r.t. time. −Q’Dot is itself a quantity  Q’Integ: integral of Q w.r.t. time.

13 Simultaneous Statement Simultaneous Statement:  Expresses relationship between quantities. − Analog solver is responsible for computing the values of the quantities such that the relationships hold (subject to tolerances)  May appear anywhere a concurrent statement may appear.  Statement is symmetrical w.r.t. its LHS and RHS. architecture H2 of Vibration is... begin x1’dot’dot == -f*(x1 - x2) / m1; x2’dot’dot == -f*(x2 - x1) / m2; xs == (m1*x1 + m2*x2)/(m1 + m2); energy == 0.5*(m1*x1’dot**2 + m2*x2’dot**2 + f*(x1-x2)**2); end architecture H2;

14 Simultaneous Statement Other Forms of Simultaneous Statements:  Simultaneous IF statement  Simultaneous CASE statement  Simultaneous procedural statement – functions ENTITY sfgAmp IS GENERIC ( gain: REAL := REAL’HIGH); PORT (QUANTITY input: IN REAL; QUANTITY output: OUT REAL); END ENTITY sfgAmp; ARCHITECTURE ideal OF sfgAmp IS BEGIN IF gain /= REAL’HIGH USE output == gain * input; ELSE input == 0.0; END USE; END ARCHITECTURE ideal;

15 Branch Quantities Declared between two terminals  Plus terminal and minus terminal  Minus terminal defaults to reference terminal of nature vd is an across quantity:  it represents the voltage between terminals anode and cathode −vd= vanode - vcathode id and ic are through quantities:  they represent the currents in the two parallel branches −Both currents flow from terminal anode to terminal cathode architecture Level0 of Diode is quantity vd across id, ic through anode to cathode;... begin... end architecture Level0;

16 Example: Diode library IEEE, Disciplines; use Disciplines.electrical_system.all; use IEEE.math_real.all; entity Diode is generic (iss: REAL := 1.0e-14; n, af: REAL := 1.0; tt, cj0, vj, rs, kf: REAL := 0.0); port (terminal anode, cathode: electrical); end entity Diode; architecture Level0 of Diode is quantity vd across id, ic through anode to cathode; quantity qc: charge; constant vt: REAL := ; -- thermal voltage begin id == iss * (exp((vd-rs*id)/(n*vt)) - 1.0); qc == tt*id - 2.0*cj0 * sqrt(vj**2 - vj*vd); ic == qc’dot; end architecture Level0;

17 Quantities in Various Natures Electrical  voltage: across  current: through Translational  position: across  force: through Thermal  temperature: across  power (or heat-flow): through Fluidic  pressure: across  flow-rate: through

18 References Reference Site:  Reference Book:  The System Designer's Guide to VHDL-AMS (The Morgan Kaufmann Series in Systems on Silicon) by Peter J. Ashenden, Gregory D. Peterson, Darrell A. Teegarden Tools:  Mentor Graphics SystemVision: −a downloadable version for educational purposes: −  University of Cincinnati VHDL-AMS simulator (SEAMS)  Infineon Technologies VHDL-AMS Environment  Analogy TheHDL Mixed Signal Simulator  FTL Systems VHDL-AMS Compiler/Simulator  LEDA VHDL-AMS Front-end tools  University of Southampton VHDL-AMS Analyzer  University of Frankfurt Java VHDL-AMS Parser Models: 