Combinatorial Group Testing Methods for the BIST Diagnosis Problem Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla,

Slides:



Advertisements
Similar presentations
MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
Advertisements

Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Advanced ITC Presentation A. Pogiel J. Rajski J. Tyszer.
RISC and Pipelining Prof. Sin-Min Lee Department of Computer Science.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
On Diagnosis of Multiple Faults Using Compacted Responses Jing Ye 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
On the Selection of Efficient Arithmetic Additive Test Pattern Generators S. Manich, L. García, L. Balado, E. Lupon, J. Rius, R. Rodriguez, J. Figueras.
Scalable Test Pattern Generator Design Method for BIST Petr Fišer, Hana Kubátová Czech Technical University in Prague Faculty of Electrical Engineering.
Indexing Network Voronoi Diagrams*
Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Background: Scan-Based Delay Fault Testing Sequentially apply initialization, launch test vector pairs that differ by 1-bit shift A vector pair induces.
Evaluation of Placement Techniques for DNA Probe Array Layout Andrew B. Kahng 1 Ion I. Mandoiu 2 Sherief Reda 1 Xu Xu 1 Alex Zelikovsky 3 (1) CSE Department,
Boosting: Min-Cut Placement with Improved Signal Delay Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA
Linearization of Stream Ciphers in Terms of Cellular Automata Amparo Fúster-Sabater Institute of Applied Physics (CSIC) Madrid (Spain)
Statistical Diagnosis for Intermittent Scan Chain Hold-Time Fault Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing.
Design for Testability Theory and Practice Lecture 11: BIST
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Layout-aware Scan-based Delay Fault Testing Puneet Gupta 1 Andrew B. Kahng 1 Ion Mandoiu 2 Puneet Sharma 1 1 ECE Department, University of California –
Comparison of LFSR and CA for BIST
Chapter 11 Limitations of Algorithm Power Copyright © 2007 Pearson Addison-Wesley. All rights reserved.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
3. Built-In Self Test (BIST): Periodical Off-Line Test on the Field 3.1 General Structure Unit Under Test Data Compressor Data Generator Comparator Display.
4/26/05Cheng: ELEC72501 A New Method for Diagnosing Multiple Stuck- at-Faults using Multiple and Single Fault Simulations An-jen Cheng ECE Dept. Auburn.
An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets Abhijit Jas, Kartik Mohanram, and Nur A. Touba Eighth Asian Test Symposium, (ATS.
Leonardo da Vinci ALLEGRO © J. M. Martins Ferreira - University of Porto (FEUP / DEEC)1 Test protocol for BS boards J. M. Martins Ferreira FEUP / DEEC.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
EE141 VLSI Test Principles and Architectures Ch. 6 - Test Compression – P. 1 1 Chapter 6 Test Compression.
Unit V Fault Diagnosis.
1 Fitting ATE Channels with Scan Chains: a Comparison between a Test Data Compression Technique and Serial Loading of Scan Chains LIRMM CNRS / University.
Efficient Data Mining for Calling Path Patterns in GSM Networks Information Systems, accepted 5 December 2002 SPEAKER: YAO-TE WANG ( 王耀德 )
Mining Multidimensional Sequential Patterns over Data Streams Chedy Raїssi and Marc Plantevit DaWak_2008.
A Test-Per-Clock LFSR Reseeding Algorithm for Concurrent Reduction on Test Sequence Length and Test Data Volume Adviser :蔡亮宙 Student ;蔡政宏.
Accelerating Statistical Static Timing Analysis Using Graphics Processing Units Kanupriya Gulati and Sunil P. Khatri Department of ECE, Texas A&M University,
Logic BIST Logic BIST.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Test pattern generator is BIST scan chains TESTGENERATOR COMPACOMPACCTTOORRCOMPACOMPACCTTOORRCTOR Control.
1 Lower Bounds Lower bound: an estimate on a minimum amount of work needed to solve a given problem Examples: b number of comparisons needed to find the.
LEONARDO INSIGHT II / TAP-MM ASTEP - Test protocol for BS boards © J. M. Martins Ferreira - University of Porto (FEUP / DEEC)1 Test protocol for BS boards.
TOPIC : Introduction to Compression Techniques UNIT 5 : BIST and BIST Architectures Module 5.4 Compression Techniques.
Reducing Test Application Time Through Test Data Mutation Encoding Sherief Reda and Alex Orailoglu Computer Science Engineering Dept. University of California,
Page 1EL/CCUT T.-C. Huang May 2004 TCH CCUT Introduction to IC Test Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Eng. Chong Chou Institute of Tech.
Efficient On-line Interconnect BIST in FPGAs with Provable Detectability for Multiple Faults Vishal Suthar and Shantanu Dutt Dept. of ECE University of.
TOPIC : Signature Analysis. Introduction Signature analysis is a compression technique based on the concept of (CRC) Cyclic Redundancy Checking It realized.
BIST Pattern Generator inserter using Cellular Automata By Jeffrey Dwoskin Project for Testing of ULSI Circuits, Spring 2002, Rutgers University 5/15/02.
Mixed-Mode BIST Based on Column Matching Petr Fišer.
Bijective tree encoding Saverio Caminiti. 2 Talk Outline Domains Prüfer-like codes Prüfer code (1918) Neville codes (1953) Deo and Micikevičius code (2002)
Technical University Tallinn, ESTONIA Copyright by Raimund Ubar 1 Raimund Ubar N.Mazurova, J.Smahtina, E.Orasson, J.Raik Tallinn Technical University.
Outline Motivation and Contributions Related Works ILP Formulation
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
Mixed PLB and Interconnect BIST for FPGAs Without Fault-Free Assumptions Vishal Suthar and Shantanu Dutt Electrical and Computer Engineering University.
TOPIC : RTD, SST UNIT 5 : BIST and BIST Architectures Module 5.2 Specific BIST Architectures.
COE-571 Digital System Testing A Pattern Ordering Algorithm for Reducing the Size of Fault Dictionaries Authors: P. Bernardi, M. Grosso, M. Rebaudengo,
POWER OPTIMIZATION IN RANDOM PATTERN GENERATOR By D.Girish Kumar 108W1D8007.
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
Tallinn Technical University
Hardware Testing and Designing for Testability
VLSI Testing Lecture 14: Built-In Self-Test
Analysis and design of algorithm
Chapter 11 Limitations of Algorithm Power
Sungho Kang Yonsei University
MS Thesis Defense Presentation by Mustafa Imran Ali COE Department
Lecture 26 Logic BIST Architectures
Test Data Compression for Scan-Based Testing
Presentation transcript:

Combinatorial Group Testing Methods for the BIST Diagnosis Problem Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA CSE Department University of CA, San Diego La Jolla, CA Presented by Prof. C. K. Cheng CSE Department University of CA, San Diego La Jolla, CA UCSD VLSI CAD Laboratory,

Outline → Diagnosis in BIST Environments → Combinatorial Group Testing (CGT) → New Diagnosis Techniques: ─ Digging ─ Multi-Stage Batching ─ Doubling and Jumping ─ Hybrid Techniques: Batched Binary Search → Experimental Results and Conclusions

Diagnosis in BIST Environments Scan Chain Circuit Under Test Compactor Generator Signature A test session applies a number of test patterns

Scan Chain Circuit Under Test Compactor Generator fault 0 1 Signature A test session applies a number of test patterns Diagnosis in BIST Environments

Problem: Given a faulty BIST environment, identify faulty scan cells (= subset of scan cells receiving faulty responses) in the minimum amount of time. Abstractly: Given a set of items (scan cells), some of which are faulty (faulty scan cells), identify the subset of faulty items using a tester (compactor) that gives only a Yes/No response. Diagnosis in BIST Environments

→ Combinatorial Group Testing (CGT) → New Diagnosis Techniques: ─ Digging ─ Multi-Stage Batching ─ Doubling and Jumping ─ Hybrid Techniques: Batched Binary Search → Experimental Results and Conclusions Outline

Combinatorial Group Testing (CGT)  CGT tests groups of items instead of individual items. A group tests positive (faulty) when at least one item in the group tests positive.  CGT = Generic class of algorithms applied when many individuals or items are subjected to same test.  A CGT experiment consists of (1) defining the groups, and (2) a diagnosis or decoding procedure to infer the status of items from the status of groups.  We use CGT methods to improve existing diagnosis techniques, and as the basis of new techniques.

Diagnosis in BIST Environments Combinatorial Group Testing (CGT) → New Diagnosis Techniques: ─ Digging ─ Multi-Stage Batching ─ Doubling and Jumping ─ Hybrid Techniques: Batched Binary Search → Experimental Results and Conclusions Outline

New Diagnosis Techniques: Digging  Saves lots of diagnosis time with small number of faulty cells Binary SearchDigging  Example: Digging saves one test session over Binary Search Faulty Signature Fault-Free Signature

New Diagnosis Techniques: Multi-Stage Batching STAGE STAGE STAGE STAGE 4 Cell status undetermined Faulty Cell Fault-Free cell  Saves lots of diagnosis time with large number of faulty cells  Divide scan cells under test into groups of size = square root of total.

New Diagnosis Techniques: Doubling  The number of faults is unknown Cell status undetermined Faulty cell Fault-Free cell ` 4567 ` 4567 ` ` ` ` Identify faulty cells using binary search 1113

New Diagnosis Techniques: Hybrid Techniques Phase 2: Binary Search or Digging Phase 1: Batching

Diagnosis in BIST Environments Combinatorial Group Testing (CGT) New Diagnosis Techniques: ─ Digging ─ Multi-Stage Batching ─ Doubling and Jumping ─ Hybrid Techniques: Batched Binary Search → Experimental Results and Conclusions Outline

Experimental Results FaultsDiagnosis LiteratureProposed from CGT Newly Proposed ABCDEFGHI A Rajski’s Random Partitioning B Bayraktaroglu’s deterministic partitioning C Touba’s binary search D Touba’s linear partitioning E Digging F Multi-Stage Batching G Doubling H Hybrid: Batched-BS I Hybrid: Batched Dig  Diagnosis time for scan chain of length 961

Experimental Results Batched Digging Binary Search Doubling Multi-Stage Batching  Techniques that excel for small values of faults perform poorly for large values of faults and vice versa

Conclusions  We show that the BIST diagnosis problem corresponds to the established field of Combinatorial Group Testing (CGT)  We improve on existing techniques in CGT literature  We propose and adapt a number of algorithms from CGT to the BIST diagnosis problem Future Work  Competitive CGT techniques for theoretical benchmarking of various diagnosis techniques  Non-adaptive diagnosis techniques using binary superimposed codes  Diagnosis in the presence of unreliable tests, e.g., aliasing effects in compactors like Multiple-Input Shift Registers (MISR)