Chung-Kuan Cheng†, Andrew B. Kahng†‡,

Slides:



Advertisements
Similar presentations
Tunable Sensors for Process-Aware Voltage Scaling
Advertisements

OCV-Aware Top-Level Clock Tree Optimization
Improved On-Chip Analytical Power and Area Modeling Andrew B. Kahng Bill Lin Kambiz Samadi University of California, San Diego January 20, 2010.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
Computer Science & Engineering Department University of California, San Diego SPICE Diego A Transistor Level Full System Simulator Chung-Kuan Cheng May.
Chop-SPICE: An Efficient SPICE Simulation Technique For Buffered RC Trees Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
The Cost of Fixing Hold Time Violations in Sub-threshold Circuits Yanqing Zhang, Benton Calhoun University of Virginia Motivation and Background Power.
1 Closed-Loop Modeling of Power and Temperature Profiles of FPGAs Kanupriya Gulati Sunil P. Khatri Peng Li Department of ECE, Texas A&M University, College.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Fuzzy Simulated Evolution for Power and Performance of VLSI Placement Sadiq M. Sait Habib Youssef Junaid A. KhanAimane El-Maleh Department of Computer.
Power-Aware Placement
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Enhanced Dual-Transition Probabilistic Power Estimation with Selective Supergate Analysis Fei Huand Vishwani D. Agrawal Department of ECE, Auburn University,
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
Constructing Current-Based Gate Models Based on Existing Timing Library Andrew Kahng, Bao Liu, Xu Xu UC San Diego
Fuzzy Simulated Evolution for Power and Performance of VLSI Placement Sadiq M. SaitHabib Youssef Junaid A. KhanAimane El-Maleh Department of Computer Engineering.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Automated Design.
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Fuzzy Evolutionary Algorithm for VLSI Placement Sadiq M. SaitHabib YoussefJunaid A. Khan Department of Computer Engineering King Fahd University of Petroleum.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Interconnect Implications of Growth-Based Structural Models for VLSI Circuits* Chung-Kuan Cheng, Andrew B. Kahng and Bao Liu UC San Diego CSE Dept.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
© 2000 Prentice Hall Inc. Figure 6.1 AND operation.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
More Realistic Power Grid Verification Based on Hierarchical Current and Power constraints 2 Chung-Kuan Cheng, 2 Peng Du, 2 Andrew B. Kahng, 1 Grantham.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
Machine Learning in Simulation-Based Analysis 1 Li-C. Wang, Malgorzata Marek-Sadowska University of California, Santa Barbara.
MOS Inverter: Static Characteristics
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
ICCAD 2003 Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level Yuvraj.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
A comparison between different logic synthesis techniques from the digital switching noise viewpoint G. Boselli, V. Ciriani, V. Liberali G. Trucco Dept.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
Chapter 07 Electronic Analysis of CMOS Logic Gates
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.
1 5. Application Examples 5.1. Programmable compensation for analog circuits (Optimal tuning) 5.2. Programmable delays in high-speed digital circuits (Clock.
VLSI Design Lecture 5: Logic Gates Mohammad Arjomand CE Department Sharif Univ. of Tech. Adapted with modifications from Wayne Wolf’s lecture notes.
Outline Introduction: BTI Aging and AVS Signoff Problem
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
CHEE825 Fall 2005J. McLellan1 Nonlinear Empirical Models.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
ASIC Design Methodology
Xiang Hu1, Wenbo Zhao2, Peng Du2, Amirali Shayan2, Chung-Kuan Cheng2
Timing Analysis 11/21/2018.
Circuit Design Techniques for Low Power DSPs
Presentation transcript:

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Chung-Kuan Cheng†, Andrew B. Kahng†‡, Kambiz Samadi‡ and Amirali Shayan† June 13, 2010 CSE† and ECE‡ Departments University of California, San Diego

Noise waveform characteristics Motivation Power distribution network (PDN) is major consumer (30+%) of interconnect resources  seek efficient early-stage PDN optimization Correct optimization of PDN requires understanding the implications on delay Our proposed models attempt to accurately and efficiently provide such implications Worst-case Vdd Our proposed models PDN Optimization Noise waveform characteristics Perf OK? No Circuit model Stimuli Layout decaps, ESR, … Yes Performance constraints done Critical path

Existing Models Gate delay models under supply voltage noise can be classified as (1) static or (2) dynamic Replace supply voltage noise with equivalent P/G voltage (cf. Hashimoto et al. ICCAD’04) Fails to capture the dynamic behavior of the noise waveform (time-invariant) Probabilistic approaches to estimate supply voltage noise bound given a performance criteria (cf. Martorell et al. CDTiSNE’07) Assumes equal supply voltage across all the gates in a path Discretize the noise waveform  assign an equivalent DC voltage values for each interval (cf. Weng et al. ICCD’08) Recently, Okumera et al. proposed a dynamic gate delay model (cf. ASPDAC’10) Does not account for simultaneous change in all the relevant cell and noise parameters

Implementation Flow and Tools Configurable SPICE netlist Use range of supply voltage noise , temperature and cell parameters to capture design space Use nonparametric regression modeling to capture impact of supply voltage noise and temperature on cell delay From basic gate delay model, compute delay of arbitrary k-stage critical path  Accurately detect worst-case supply noise waveform / performance Noise characteristics (magnitude, slew, offset) Cell parameters Temperature Circuit Simulation SPICE delay output slew Model Generation (Multivariate Adaptive Regression Splines) Worst-case Performance Model

Scope of Study Parameterizable SPICE netlist for a given cell Generic critical path with arbitrary number of stages 65nm Foundry SPICE (typical corner, NVT devices) Tool Chain: Synopsys HSPICE and Salford MARS 3.0 Experimental axes: Technology node: {65nm} Cell parameters: {slewin, outputload, cellsize} input slew, output load, cell size Supply noise parameters: {ampnoise, slewnoise, offsetnoise} noise amplitude, noise slew, noise offset Temperature noise slew noise amplitude noise offset INVx output load input slew

Modeling Problem Accurately predict y given vector of parameters x → Accurately predict y given vector of parameters x Difficulties: (1) which variables x to use, and (2) how different variables combine to generate y Parametric regression: requires a functional form Nonparametric regression: learns about the best model from the data itself  Decouple the modeling task from understanding the complex relationships between dynamic supply voltage noise / temperature and cell delay This work: exploration of nonparametric regression to model delay and output slew of a given cell → →

Multivariate Adaptive Regression Splines (MARS) MARS is a nonparametric regression technique MARS builds models of form: Each basis function Bi(x) can be: a constant a “hinge” function max(0, c – x) or max (0, x – c) a product of two or more hinge functions Two modeling steps: (1) forward pass: obtains model with defined maximum number of terms (2) backward pass: improves generality by avoiding an overfit model ^ → → →

Example MARS Output Models Delay Model B1 = max(0, loadout – 0.021); B2 = max(0, 0.021 – loadout); … B98 = max(0, offestnoise + 2.4e-12 )×B92; B100 = max(0, offsetnoise + 2.4e-12) ×B37; dcell = 1.02e-11 + 7.35e-10×B1 - 5.89e-10×B2 - 2.17e-11×B3+… - 1.71e-7×B96+2.43e-7×B98 - 3.03e-8×B100 Output Slew Model B1 = max(0, loadout – 0.0009); B2 = max(0, cellsize - 4)×B1; … B99 = max(0, 0.05 - slewnoise)×B55; B100 = max(0, offsetnoise + 0.15) ×B94; slewout = 1.23e-11 + 1.53e-10×B1 – 2.05e-10×B2 + 2.05e-9×B3 + … - 1.08e-8×B98 – 4.33e-9×B99 – 7.42e-9×B100 Closed-form expressions with respect to cell and supply voltage noise parameters Suitable to drive early-stage PDN design exploration

Accurate Cell Delay Modeling Noise characteristics need to be considered Noise slew affects cell delay only when it is comparable to that of input slew Noise offset affects the impact of supply noise on cell delay  CMOS gate delay modeling is a nontrivial task with nonobvious implications 9

Worst-Case Performance Model GOAL: find set of seven parameters (7-tuple) where the path delay is maximum Mapping from set of all 7-tuples to cell delay and output slew values In a single stage pick the 7-tuple with maximum delay In a multi-stage path: Output slew of the previous stage becomes the input slew to the current stage Noise offset must be adjusted according to delay and output slew values of the previous stages Worst-case configuration is always an element of |slewin|×|loadout|×|cellsize|×|ampnoise|×|slewnoise|×|offsetnoise|×|temp| … 10

Experimental Setup and Results Scripting to generate SPICE decks for 30720 configurations Three different paths with different number of stages: (1) only inverter, (2) only 2-input NAND, and (3) a mix of inverter and 2-input NAND Models are insensitive to random selection of training data set Cell delay model within 6% of SPICE (on average) Our multi-stage path delay within 4.3% of SPICE simulation Worst-case predictions are in top 3 (out of 30720 configurations) w.r.t. list Parameter Values slewin {0.00056, 0.00112, 0.0392, 0.1728, 0.56, 0.7088}ns loadout {0.0009, 0.0049, 0.0208, 0.0842}pF cellsize INV: {1, 4, 8, 20} 2-input NAND: {1, 2, 4, 8} ampnoise {0, 0.054, 0.144, 0.27}V slewnoise {0.01, 0.04, 0.07, 0.09}ns offsetnoise {-0.15, -0.05, 0, 0.05, 0.15}ns temp {-40, 25, 80, 125}°C 11

Extensibility of Approach Have used same methodology to develop models for interconnect wirelength (WL) and fanout (FO) Wirelength model On average, within 3.4% of layout data 91% reduction of avg error vs. existing models (cf. Christie et al. ’00) Fanout model On average, within 0.8% of the layout data 96% reduction of avg error vs. existing models (cf. Zarkesh-Ha et al. ’00) 12

Conclusions Generally applicable gate delay modeling methodology Leverage supply voltage and temperature variations Achieved accurate cell delay and output slew models Validated our models against 30720 configurations Proposed cell delay model is within 6% of SPICE (on average) Proposed path delay model is within 4.3% of SPICE (on average) Proposed models accurately detect worst-case supply noise waveform / performance