Assigned readings. SIGNALSTORM NANOMETER DELAY CALCULATOR CADENCE DATASHEET.

Slides:



Advertisements
Similar presentations
EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
Advertisements

ECE C03 Lecture 71 Lecture 7 Delays and Timing in Multilevel Logic Synthesis Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
Continuing Challenges in Static Timing Analysis
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Lecture 11: Sequential Circuit Design. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 11: Sequential Circuits2 Outline  Sequencing  Sequencing Element Design.
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
1 Lecture 28 Timing Analysis. 2 Overview °Circuits do not respond instantaneously to input changes °Predictable delay in transferring inputs to outputs.
© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Synchronous Digital Design Methodology and Guidelines
Clock Design Adopted from David Harris of Harvey Mudd College.
ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Dr. Shi Dept. of Electrical and Computer Engineering.
Power-Aware Placement
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Vishwani D. Agrawal James J. Danaher Professor
Modern VLSI Design 2e: Chapter 5 Copyright  1998 Prentice Hall PTR Topics n Memory elements. n Basics of sequential machines.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
ECE C03 Lecture 61 Lecture 6 Delays and Timing in Multilevel Logic Synthesis Prith Banerjee ECE C03 Advanced Digital Design Spring 1998.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Crosstalk. n Power optimization.
1 A Method for Fast Delay/Area Estimation EE219b Semester Project Mike Sheets May 16, 2000.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
STATIC TIMING ANALYSIS
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
03/30/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file)
DELAY INSERTION METHOD IN CLOCK SKEW SCHEDULING BARIS TASKIN and IVAN S. KOURTEV ISPD 2005 High Performance Integrated Circuit Design Lab. Department of.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Kazi ECE 6811 ECE 681 VLSI Design Automation Khurram Kazi* Lecture 10 Thanks to Automation press THE button outcomes the Chip !!! Reality or Myth (*Mostly.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 2 A Circuit Design Example.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
High-Level Interconnect Architectures for FPGAs An investigation into network-based interconnect systems for existing and future FPGA architectures Nick.
Chapter 07 Electronic Analysis of CMOS Logic Gates
High-Level Interconnect Architectures for FPGAs Nick Barrow-Williams.
ECE Advanced Digital Systems Design Lecture 12 – Timing Analysis Capt Michael Tanner Room 2F46A HQ U.S. Air Force Academy I n t e g r i.
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
By Praveen Venkataramani
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
On the Assumption of Normality in Statistical Static Timing Analysis Halim Damerdji, Ali Dasdan, Santanu Kolay February 28, 2005 PrimeTime Synopsys, Inc.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Priority encoder. Overview Priority encoder- theoretic view Other implementations The chosen implementation- simulations Calculations and comparisons.
Introduction to Clock Tree Synthesis
Interconnect/Via.
Solid-State Devices & Circuits
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Static Timing Analysis
Timing Behavior of Gates
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
1 Clarinet: A noise analysis tool for deep submicron design Rafi Levy Gabi Bracha, David Blaauw, Aurobindo Dasgupta, Amir Grinshpon,
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
Library Characterization
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Timing Analysis 11/21/2018.
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
On the Improvement of Statistical Timing Analysis
Submitted by HARSHITHA G H
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Presentation transcript:

Assigned readings

SIGNALSTORM NANOMETER DELAY CALCULATOR CADENCE DATASHEET

Introduction: The movement of VLSI chips to nanometer process geometries resulted: to the domination of interconnect delays in total delay increased sensitivity to variations in power supply New Challenges for the delay calculators : can’t model the wires as single lumped effective capacitance can’t use linear approximation techniques for IR Drop and ground bounce CAD tools need to develop new algorithms for nanometer delay modeling Signalstorm is a popular tool for performing timing characterizations for standard cell libraries.

Why Do We Need New Solution for Delay Prediction Multilevel design methodologies allow great variation in power supply voltage tools must model this variation in a nonlinear fashion Modeling input pin capacitance was inaccurate because it is based on fixed values rather than dynamic values Modeling dynamic current and capacitance characteristics should take into account of nonlinear IR Drop and wire loading effects. Inadequate delay calculations lead design failures, and lack of competitiveness in the market because we might lose the advantages of nanometer technology.

What Are Essential Capabilities for NDC? Estimating C eff Gate Delay = f( T in, C load ) Gate Delay = f( T in, C load, R pi, C 2 ) Requires 4-D table to achieve high accuracy Single C value that can be replaced instead of RC-Pi load

What Are Essential Capabilities for NDC? Time–quantized Model of C eff Traditional tool use single values for C eff which results in up to 20% inaccurate slew values SignalStorm apply dynamic relationship between voltage, current and load capacitance to calculate slew and delay. SignalStorm computes over several time steps during signal ramp to more closely track the actual effective capacitance as it changes with signal voltage. Gate Delay = f( T in, C load )

What Are Essential Capabilities for NDC? Variable Source Current Modeling with ECMs Nonlinear current source fitting Input slew & output load dependence Accurate calculations at multiple driver cells, clock meshes, long interconnects, modeling RC drop effects Most efficient current modeling because it can represent complex topologies more accurately

What Are Essential Capabilities for NDC? IR Drop and Ground Bounce Effects on Timing at 130 nm IR Drop –Describes the voltage drops caused by current flowing from power source through a resistive power network to the on-chip devices. Ground Bounce –Describes voltage spikes caused by current flowing from on-chip devices through a resistive ground network to the ground pins IR Drop and Ground Bounce impact silicon performance –Increased clock skew hold time violations –Increased signal skew setup time violations

Change In Delay With IR is Nonlinear

SignalStorm NDC In The Design Flow

Synopsis Primetime (Brendan) Stand-alone full chip, gate-level static timing analyzer. It analyzes the timing of large, synchronous, digital ASICs. Pre-layout static timing analysis

Static Timing Analysis Setup and hold checks Recovery and removal checks Clock pulse width checks Clock gating checks

Design Analysis Unclocked registers Unconstrained timing endpoints Master-slave clock separation Multiple clocked registers Level-sensitive clocking Combinational feedback loops Design rule checks (maximum capacitance, maximum transition time, and maximum fanout)

Inputs -> Outputs Design file –Synopsys database files (.db) –Verilog netlist files –Electronic Data Interchange Format (EDIF) netlist files –VHDL netlist files Set up the operating conditions, wireload models, port load, drive, and transition time. Define the clock period, waveform, uncertainty, and latency. Specify the input and output port delays. Set multicycle paths. Set false paths. Specify minimum and maximum delays, path segmentation, and disabled arcs. % flip-flops with violations setup and hold violations timing paths rising edge input timing and falling edge input timing of all paths in the design critical path for each clock group timing report for each clock group electrical design rule violations

Benefits Tight integration with other Synopsis tools Standalone (lower memory usage than Design Compiler, better performance) Primetime SI – cross talk aware analysis

Alternatives Dataquest (2004): Synopsis holds 93% of STA market share ViewLogic Motive –Bought by Synopsis Spice –More for validation Cadence Pearl Mentor Graphics SST Velocity

Further Information PrimeTime Tutorial: 1. Introduction to PrimeTime and the TutorialPrimeTime Tutorial: 1. Introduction to PrimeTime and the Tutorial Primetime Tutorial Have I Really Met Timing? - Validating PrimeTime Timing Reports with SpiceHave I Really Met Timing? - Validating PrimeTime Timing Reports with Spice Tobias Thiel Proceedings of the Design, Automation and Test in Europe Conference and Exhibition Designers’ Forum (DATE’04)

Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations Aseem Agarwal, David Blaauw, *Vladimir Zolotov University of Michigan, Ann Arbor, MI *Motorola, Inc., Austin, TX

Inter-die & Intra die variablilty Gate length variability Intra-die variations –Random variations (no dependence on location) –Spatially correlated variations

Process variation model Ignoring all spatial correlations Gate length variations small with 3σ values of less that 15% of L nom The change in gate delay is linear with the change in gate length.

Correlations Reconvergence of circuit paths –Arrival time of nodes B and C are both dependent on the arrival time at node A –Can be ignored. Gives the upper bound. For proof see: A. Agarwal et al “Computation and Refinement of Statistical Bounds on Circuit Delay” DAC 2003 Spatial correlation –Between gate delay and arrival times (complicates the propagation) –Between gate delays (complicates the merging of arrival times) –Ignoring does not give the upper bound. WHY? Spatial correlation makes the intra-die variability more similar to that of inter-die variability, which increases the delay of circuit paths.

Modeling spatial correlation Divide the die into 2 l x 2 l squares random variable associated with each region (l,r)

Modeling spatial correlation one of random variables in the model : for random variable associated with the gate based on its position in the die. For all other

σTA Method: Propagation Arrival times propagated from input to output of gates. Add gate delay and input arrival time to get output arrival time.

σTA Method: Merging a1, a2 arrival times both in the sum of components form. max(a1,a2) → –Expensive: requires enumeration of the random variables L i –Inaccurate: result does not preserve the spatial information for further propagation. GOAL: generate an output arrival time that is efficient and whose CDF is an upper bound on the exact arrival time.

σTA Method: Merging

INCREMENTAL TIMING ANALYSIS Patent Number: 5,508,937 Abato et al. Date of Patent: Apr. 16, 1996 Presented by Cesare

INCREMENTAL TIMING ANALYSIS: STA vs. ITA STA (static timing analysis) naïve approach: –For each node of the circuit, calculate its couple. Observation: what happens if some circuit parameter changes? STA: NO PROBLEM  Re-calculate the entire list of nodes (very inefficient solution..) Better Approach: Incremental timing analysis

INCREMENTAL TIMING ANALYSIS: Overview Circuit as a set of sub- circuits Each sub circuit is delimited by leftmost nodes, and rightmost nodes What happens if we increment by 1 the rise/fall time of gate G1 ?  The Arrival time for node Y changes  Re-calculate the STA? 2/4 3/4 2/4 A C B Sub Circuit_i Leftmost nodes: A,B,C Rightmost nodes: X,Y X Y W1 W2 W3 W4 Arrival Time Required Time G1

INCREMENTAL TIMING ANALYSIS – Algorithm  Basic Idea:  Subdivide the circuit in N sub-regions  Define the frontier of change nodes (rightmost and leftmost nodes).  Store the frontiers of change are stored into two separate lists (Arrival queue – Required Queue).  Order the lists according to the the sub-circuit level number  If a node changes its timing propriety, discard the delay table of the respective sub-region  DO NOT compute the STA for the sub-region until explicitly requested.

INCREMENTAL TIMING ANALYSIS: Notes The frontiers of change move as recalculation progresses. The algorithm works recursively. Ordered lists  for a fixed level, we can avoid to consider nodes with higher level. It is not needed to calculate the sub circuit STA every time a node changes!!

INCREMENTAL TIMING ANALYSIS ANY Questions?? E N D