Process-Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee:

Slides:



Advertisements
Similar presentations
1 Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Dept. of ECE, Auburn University Auburn, AL Hillary Grimes & Vishwani D. Agrawal.
Advertisements

Low Power Design of CMOS Circuits Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL Nov 19, 20091Agrawal: Low.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Clock Design Adopted from David Harris of Harvey Mudd College.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
May 18, 2004MS Defense: Uppalapati1 Low Power Design of Standard Cell Digital VLSI Circuits By Siri Uppalapati Thesis Directors: Prof. M. L. Bushnell and.
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
Aug 31, '02VDAT'02: Low-Power Design1 Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Tezaswi Raja, Rutgers.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
August 12, 2005Uppalapati et al.: VDAT'051 Glitch-Free Design of Low Power ASICs Using Customized Resistive Feedthrough Cells 9th VLSI Design & Test Symposium.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 7 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Gate-Level Power Optimization Vishwani.
9/08/05ELEC / Lecture 51 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
Minimum Dynamic Power Design Using Variable Input Delay CMOS Logic
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Enhanced Dual-Transition Probabilistic Power Estimation with Selective Supergate Analysis Fei Huand Vishwani D. Agrawal Department of ECE, Auburn University,
Input-Specific Dynamic Power Optimization for VLSI Circuits Fei Hu Intel Corp. Folsom, CA 95630, USA Vishwani D. Agrawal Department of ECE Auburn University,
1 Dynamic Power Estimation With Process Variation Modeled as Min–Max Delay Jins Davis Alexander Vishwani D. Agrawal Department of Electrical and Computer.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
10/25/2007 ITC-07 Paper Delay Fault Simulation with Bounded Gate Delay Model Soumitra Bose Design Technology, Intel Corp. Folsom, CA Hillary.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Fall 2006, Oct. 5 ELEC / Lecture 8 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Glitch-Free ASICs and Custom.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Fall 2006, Sep. 26, Oct. 3 ELEC / Lecture 7 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Dynamic Power:
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Lecture 5 – Power Prof. Luke Theogarajan
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Lecture 7: Power.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Jan 7, 2010Agrawal: Low Power CMOS Design1 Vishwani D. Agrawal James J. Danaher Professor ECE Dept., Auburn University, Auburn, AL
Logic Optimization Mohammad Sharifkhani. Reading Textbook II, Chapters 5 and 6 (parts related to power and speed.) Following Papers: –Nose, Sakurai, 2000.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
Power Reduction for FPGA using Multiple Vdd/Vth
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 07 Electronic Analysis of CMOS Logic Gates
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Outline Introduction: BTI Aging and AVS Signoff Problem
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
© Digital Integrated Circuits 2nd Inverter EE5900 Advanced Algorithms for Robust VLSI CAD The Inverter Dr. Shiyan Hu Office: EERC 731 Adapted.
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Introduction to Clock Tree Synthesis
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
Post-Silicon Calibration for Large-Volume Products
Ph.D. General Oral Examination
Presentation transcript:

Process-Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster Dai Dr. Darrel Hankerson Dr. Saad Biaz (Outside Reader) November 16, 2005

Nov. 16th, 2005 Fei Hu, PhD Dissertation2 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation3 Introduction Power component for CMOS circuits –P avg = P static + P dynamic –P dynamic  1/2 kC L V dd 2 f clk Power dissipation problem –For constant die size, total capacitance increases by 40% when transistor size is reduced by 70% –Clock frequency is scaled up faster than the minimum feature size (MFS) –Leakage power increases dramatically as MFS reduces into submicron region –Architecture trend is towards programmability and reusability – leads to more hunger for power

Nov. 16th, 2005 Fei Hu, PhD Dissertation4 VLSI Chip Power Density Pentium® P Year Power Density (W/cm 2 ) Hot Plate Nuclear Reactor Rocket Nozzle Sun’s Surface Source: Intel 

Nov. 16th, 2005 Fei Hu, PhD Dissertation5 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation6 Background Dynamic power dissipation –P dyn = P switching + P short-circuit Switching power dissipation –P switching = 1/2 kC L V dd 2 f clk

Nov. 16th, 2005 Fei Hu, PhD Dissertation7 Background Short-circuit power dissipation –Short-circuit current when both PMOS and NMOS are on –Very much affected by the rising and falling times of input signals significant when input rise/fall time much longer than the output rise/fall time –Can be kept to a insignificant portion of P dyn

Nov. 16th, 2005 Fei Hu, PhD Dissertation8 Background Glitch reduction –A important dynamic power reduction technique –Glitch power consumes 30~70% P dyn for typical circuits –Related techniques Balanced delay Hazard filtering Transistor/Gate sizing Linear Programming approach

Nov. 16th, 2005 Fei Hu, PhD Dissertation9 Glitch reduction Original circuit Balanced path/ path balancing –Equalize delays of all path incident on a gate –Balancing requires insertion of delay buffers. Hazard/glitch filtering –Utilize glitch filtering effect of gate –Not necessary to insert buffer

Nov. 16th, 2005 Fei Hu, PhD Dissertation10 Glitch reduction Transistor/gate sizing –Find transistor sizes in the circuit to realize the delay –No need to insert buffer –Suffers from nonlinearity of delay model –large solution space, numeric convergence and global optimization not guaranteed Linear programming approach –Adopt both path balancing and hazard filtering –Find the optimal delay assignments of gates –Use technology mappings to map the gate delay assignments to transistor/gate dimensions. –Guaranteed optimal solution, a convenient way to solve a large scale optimization problem

Nov. 16th, 2005 Fei Hu, PhD Dissertation11 Previous LP approach Timing window (t, T) d7d7 T7T7 t7t7 T6T6 t6t6 T5T5 t5t5 Gate constraints: T 7  T 5 + d 7 T 7  T 6 + d 7 t 7 ≤ t 5 + d 7 t 7 ≤ t 6 + d 7 d 7 > T 7 – t 7 Circuit delay constraints: T 11 ≤ maxdelay T 12 ≤ maxdelay Objective: Minimize sum of buffer delays

Nov. 16th, 2005 Fei Hu, PhD Dissertation12 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation13 Process-variation-resistant optimization Motivation –Gate delay assumed fixed in previous models –Variation of gate delay in real circuits Environmental factors: temperature, V dd Physical factors: process variations –Effect of delay variation Glitch filtering conditions corrupted Power dissipation increases from the optimized value Leakage variation possible, requires separate investigation –Our proposal Consider delay variations in dynamic power optimization Only consider process variations (major source of delay variation)

Nov. 16th, 2005 Fei Hu, PhD Dissertation14 Process and delay variations Process variations –Variations due to semiconductor process V T, t ox, L eff, W wire, TH wire, etc. –Inter-die variation Constant within a die, vary from one die to another die of a wafer or wafer lot –Intra-die variation Variation within a die Due to equipment limitations or statistical effects in the fabrication process, e.g., variation in doping concentration Spatial correlations and deterministic variation due to CMP and optical proximity effect

Nov. 16th, 2005 Fei Hu, PhD Dissertation15 Process and delay variations Delay variation –First order gate delay model –Gate delay sensitive to process-variations Related previous work –Static timing analysis Worst case timing analysis Statistical timing analysis –Power optimization under process-variations Voltage scaling, multi-V dd /V th considering critical delay variations Gate sizing using statistical delay model No work on glitch power optimization

Nov. 16th, 2005 Fei Hu, PhD Dissertation16 Delay model and implications Random gate delay model – –Truncated normal distribution –Assume independence –Variation in terms of σ/D nom,i ratio Effect of inter-die variations –Depends on its effect to switching activities – –Definition of glitch-filtering probability P glt = P {t 2 -t 1 < d} Signal arrival time t 1, t 2 Gate inertial delay d – hange of P glt due to inter-die variation –Theorem 1 states the c hange of P glt due to inter-die variation erf(), the error function k, a path and gate dependent constant σ/D nom,i ratio for inter-die variations r, σ/D nom,i ratio for inter-die variations

Nov. 16th, 2005 Fei Hu, PhD Dissertation17 Delay model and implications Effect of inter-die variations –For a large inter-die variation, r = 0.15, |  P glt | < 5.3×10 -3 –Negligible effect on switching activity

Nov. 16th, 2005 Fei Hu, PhD Dissertation18 Delay model and implications Process-variation-resistant design –Can be achieved by path balancing and glitch filtering –Critical delay may increase Theorem 2 states that a solution is guaranteed only if circuit delay is allowed to increase Proved by example, assuming 10% variation

Nov. 16th, 2005 Fei Hu, PhD Dissertation19 LP model based on worst-case timing Timing model

Nov. 16th, 2005 Fei Hu, PhD Dissertation20 LP model based on worst-case timing Constraints –Gate constraints –Glitch filtering constraints –Delay constraints for POs Parameter –r, σ/D nom,i ratio –D max, circuit delay parameter – , optimism factor  [1,∞]; 1 ≡ all glitches filtered, ∞ ≡ no glitch filtered Objective –Minimize #buffer inserted – sum of buffer delays

Nov. 16th, 2005 Fei Hu, PhD Dissertation21 LP model based on statistical timing Worst-case timing tends to be too pessimistic Statistical timing model with random variables

Nov. 16th, 2005 Fei Hu, PhD Dissertation22 LP model based on statistical timing Minimum-maximum statistics – needed for tb i, Tb i –Previous works Min, Max for two normal random variable not necessarily distributed as normal Can be approximated with a normal distribution Requiring complex operations, e.g., integration, exponentiation, etc. –Challenges for LP approach Require simple approximation w/o nonlinear operations Our approximation for C=Max(A,B), A, B, and C are Gaussian RVs

Nov. 16th, 2005 Fei Hu, PhD Dissertation23 LP model based on statistical timing Min-Max statistics approximation error –Negligible when |  A -  B |> 3(σ A + σ B ) –Largest when  A =  B

Nov. 16th, 2005 Fei Hu, PhD Dissertation24 LP model based on statistical timing Variables –Timing, delay variables with mean  and std dev σ –Auxiliary variables, Constraints –Gate constraints Timing window at the inputs for a two-input gate i Timing window at outputs

Nov. 16th, 2005 Fei Hu, PhD Dissertation25 LP model based on statistical timing Constraints –Gate constraint Linear approximation – k  [0.707, 1]; choose k=0.85, since –Glitch filtering constraints –Circuit delay constraint

Nov. 16th, 2005 Fei Hu, PhD Dissertation26 LP model based on statistical timing Parameter –r, σ/D nom,i ratio –D max, circuit delay parameter – , optimism factor  =1, no relaxation  <1, optimistic about the actual glitch width  =0, reduce to previous model Objective –Minimize #buffer inserted – sum of buffer delays

Nov. 16th, 2005 Fei Hu, PhD Dissertation27 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation28 Input-specific optimization Motivation –Previous LP models guarantees glitch filtering for any input vector sequence T i - t i < d i for all gates –Redundancy in optimization Insertion of more buffers Increased the overhead in power/area –In reality, circuit under embedded environments Optimization for input vector sequence that is possible to the circuit, e.g., functional vectors Same reduction in power dissipation w/ less trade-offs in overheads

Nov. 16th, 2005 Fei Hu, PhD Dissertation29 Input-specific optimization Glitch generation pattern –Input vector pair that can potentially generate a glitch –AND gate example: Glitch generation probability P g [i] –Probability glitch-generation pattern occurs at input of gate i –Steady state signal values match the pattern

Nov. 16th, 2005 Fei Hu, PhD Dissertation30 Input-specific optimization Application to Previous model w/o process-variation –Static optimization Only static glitches/hazards considered –Relaxation of constraints Relax glitch filtering constraints where glitches unlikely happen T i - t i (T i – t i )*  i (T i – t i )*  i < d i Selective relaxation Generalized relaxation

Nov. 16th, 2005 Fei Hu, PhD Dissertation31 Input-specific optimization Application to process-variation-resistant LP model based on statistical timing –Static optimization –Relaxation of constraints Selective relaxation Generalized relaxation –Tuning factor Original objective Current objective

Nov. 16th, 2005 Fei Hu, PhD Dissertation32 Input-specific optimization Why need a tuning factor –Dominating path affected critical delay distribution Can be [1,41] 41 Dominating path 1 1 1

Nov. 16th, 2005 Fei Hu, PhD Dissertation33 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation34 Experimental results Experimental procedure –Flow chart –Power estimation Event driven logic simulation Fanout weighted sum of switching activities Variations of C L and V dd ignored Monte-Carlo simulation with 1,000 samples of delays under process-variation –Results analysis Un-Opt., unit-delay circuit Opt, previous optimization Opt1, Proc-var-rst optimization worst-case timing Opt2, Proc-var-rst optimization statistical timing

Nov. 16th, 2005 Fei Hu, PhD Dissertation35 Experimental results – small variation Power dissipation under no process variation UnOptOpt (w/o proc var.)Opt1 (worst case proc)Opt2 (statistical proc) Pwr. Buf. maxdelay Pwr. Buf. Dmax Pwr. Buf. Dmax c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation36 Experimental results – small variation Power distribution under 5% inter-die, 5% intra-die variation Un-OptOpt (w/o proc var.)Opt1 (worst case proc)Opt2 (statistical proc) Circuit Maxdelay Mean Max. Dev. Mean Max. Dev. Mean Max. Dev. Mean Max. Dev. Pwr. (%) Pwr. (%) Pwr. (%) Pwr. (%) c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation37 Experimental results – small variation Power timing analysis –Example c432 –Complete suppression of power variation maxdelay=17 maxdelay=26

Nov. 16th, 2005 Fei Hu, PhD Dissertation38 Experimental results – small variation Critical delay distribution –Similar nominal delay –Reduced variation by Opt2 for c880, c2670, c5315, c7552 Nominal delayMax. Deviation

Nov. 16th, 2005 Fei Hu, PhD Dissertation39 Experimental results – large variation Power dissipation under no process-variation Un-opt.Opt (w/o proc var.)Opt1 (worst case proc)Opt2 (statistical proc) Pwr. Buf. max delay Pwr. Buf. Dmax Pwr. Buf. Dmax c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation40 Experimental results – large variation Power distribution under 15% intra-die and 5% inter-die variation Un-opt Opt (w/o proc var.) Opt1 (worst case proc)Opt2 (statistical proc) Circuit Max- Mean Max. Dev. Mean Max. Dev. Mean Max. Dev. Mean Max. Dev. delay Pwr. (%) Pwr. (%) Pwr. (%) Pwr. (%) c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation41 Experimental results – large variation Critical delay distribution –Similar nominal delay –Reduced delay variation by Opt2 Nominal delay Max. Deviation (%)

Nov. 16th, 2005 Fei Hu, PhD Dissertation42 Experimental results – input-specific optimization Application to “Opt” under no process-variation, IS-Opt Un-OptOpt (w/o proc var.)IS-Opt (input-specific w/o proc) maxdelay Pwr. Delay Buffers Pwr. Delay Buffers c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation43 Experimental results – input-specific optimization Application to “Opt2” under process-variation, IS-Opt2 under 15% intra-die and 5% inter-die variation Un-opt.Opt2 (statistical proc)IS-Opt2 (input-specific statistical proc) Cir. D Max Nom. Mean Max Dev. No. Nom. Mean Max Dev. No. Pwr. (%) Buf. Pwr. (%) Buf. c c c c c c c c c c

Nov. 16th, 2005 Fei Hu, PhD Dissertation44 Experimental results – input-specific optimization Trade-off by generalized relaxation –c432 circuit with varying  value –Reduction of #buffers with degradation of power distribution

Nov. 16th, 2005 Fei Hu, PhD Dissertation45 Experimental results – input-specific optimization Critical delay –Similar performance for “Opt2” and “IS-Opt2” Nominal delay Max. deviation

Nov. 16th, 2005 Fei Hu, PhD Dissertation46 Outline IntroductionBackground –Dynamic power dissipation –Glitch reduction –Previous LP model Process-variation-resistant LP model –Process variation –Delay model –LP model based on worst-case timing –LP model based on statistical timing Input-specific optimization –Without process-variation –With process-variation Experimental results Conclusion

Nov. 16th, 2005 Fei Hu, PhD Dissertation47 Conclusions Proposed a dynamic power optimization technique that is resistant to the process variation Consider process-variation in terms of the delay variations –inter-die and intra-die variations –Prove inter-die variation has negligible effect on switching activity and power Construct two new LP models –Worst case timing analysis –Statistical timing analysis Input-specific optimization to reduce number of buffers –Circuit optimized for certain input vector sequence Experimental results –Complete suppression of power variation for small circuit and variations –Significant reduction of power and delay variations for larger circuit and variations 53% reduction in power deviation, 40% reduction in delay deviation under 15% intra-die and 5% inter-die variation –Input-specific optimization reduces trade-off (buffers) significantly w/ equivalent power and delay performance IS-Opt2 vs. Opt2, Up to 63% reduction of buffer

Nov. 16th, 2005 Fei Hu, PhD Dissertation48 Questions For more questions, contact me at