SRAM Mohammad Sharifkhani. Effect of Mismatch.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
Sistemi Elettronici Programmabili1 Progettazione di circuiti e sistemi VLSI Anno Accademico Lezione Memorie (vedi anche i file pcs1_memorie.pdf.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
9/29/2004EE 42 fall 2004 lecture 131 Lecture #13 Power supplies, dependent sources, summary of ideal components Reading: Malvino chapter 3, Next:
Super-Drowsy Caches Single-V DD and Single-V T Super-Drowsy Techniques for Low- Leakage High-Performance Instruction Caches Nam Sung Kim, Krisztián Flautner,
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
Device Sizing Techniques for High Yield Minimum-Energy Subthreshold Circuits Dan Holcomb and Mervin John University of California, Berkeley EE241 Spring.
Die-Hard SRAM Design Using Per-Column Timing Tracking
Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 adaptive body bias for reducing process variations nuno alves 19 / october / 2006.
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
Phase Locked Loops Continued
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 6. Bipolar Junction Transistors (BJTs). Bipolar Junction Transistor Three terminal device Voltage between two terminals to control current flow.
Logic Optimization Mohammad Sharifkhani. Reading Textbook II, Chapters 5 and 6 (parts related to power and speed.) Following Papers: –Nose, Sakurai, 2000.
Effects of Variation on Emerging Devices for Use in SRAM
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 15, 2013 Memory Periphery.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1 CMOS Temperature Sensor with Ring Oscillator for Mobile DRAM Self-refresh Control IEEE International Symposium on Circuits and Systems, Chan-Kyung.
Review: Basic Building Blocks  Datapath l Execution units -Adder, multiplier, divider, shifter, etc. l Register file and pipeline registers l Multiplexers,
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Dept. of Computer Science, UC Irvine
Washington State University
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2012 Memory Periphery.
Washington State University
SRAM DESIGN PROJECT PHASE 2 Nirav Desai VLSI DESIGN 2: Prof. Kia Bazargan Dept. of ECE College of Science and Engineering University of Minnesota,
הפקולטה למדעי ההנדסה Faculty of Engineering Sciences.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 27: November 14, 2011 Memory Core.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 24: November 5, 2010 Memory Overview.
A 256kb Sub-threshold SRAM in 65nm CMOS
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Minimum Energy Sub-Threshold CMOS Operation Given Yield Constraints Max Dreo Vincent Luu Julian Warchall.
Low-Power SRAM ECE 4332 Fall 2010 Team 2: Yanran Chen Cary Converse Chenqian Gan David Moore.
Supply Voltage Biasing Andy Whetzel and Elena Weinberg University of Virginia.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
Dynamic Data Stability in Low-power SRAM Design Mohammad Sharifkhani, Shah M. Jahinuzzaman and Manoj Sachdev Electrical & Computer Engineering University.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 16, 2011 Memory Periphery.
Washington State University
Content Addressable Memories
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
11. 9/15 2 Figure A 2 M+N -bit memory chip organized as an array of 2 M rows  2 N columns. Memory SRAM organization organized as an array of 2.
Thanushan Kugathasan, CERN Plans on ALPIDE development 02/12/2014, CERN.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Patricia Gonzalez Divya Akella VLSI Class Project.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 7, 2014 Memory Overview.
EE141 Project: 32x32 SRAM Abhinav Gupta, Glen Wong Optimization goals: Balance between area and performance Minimize area without sacrificing performance.
Click to edit Master title style Progress Update Energy-Performance Characterization of CMOS/MTJ Hybrid Circuits Fengbo Ren 05/28/2010.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 28: November 8, 2013 Memory Overview.
Low Power SRAM VLSI Final Presentation Stephen Durant Ryan Kruba Matt Restivo Voravit Vorapitat.
FaridehShiran Department of Electronics Carleton University, Ottawa, ON, Canada SmartReflex Power and Performance Management Technologies.
CSE477 L25 Memory Peripheral.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 25: Peripheral Memory Circuits Mary Jane Irwin (
Asynchronous SRAM in 45nM CMOS NCSU Free PDK Paper ID: CSMEPUN International Conference on Computer Science and Mechanical Engineering 10 th November.
YASHWANT SINGH, D. BOOLCHANDANI
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Lecture 19 OUTLINE The MOSFET: Structure and operation
Ratioed Logic.
Week 9a OUTLINE MOSFET ID vs. VGS characteristic
Analyzing Sub-threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin By: James Boley.
Day 29: November 11, 2013 Memory Core: Part 1
Lecture 19 OUTLINE The MOS Capacitor (cont’d) The MOSFET:
Lecture 19 OUTLINE The MOS Capacitor (cont’d) The MOSFET:
Day 29: November 10, 2014 Memory Core: Part 1
Day 26: November 10, 2010 Memory Periphery
Presentation transcript:

SRAM Mohammad Sharifkhani

Effect of Mismatch

Data Retention Voltage

DRV Mote-carlo simulation

Inv. with VL Read load Inv. with VR Write load Init cond. VL=1, VH=0

Shmoo plot A shmoo plot is a graph that represents how a particular test passes or fails when parameters like frequency, voltage, or temperature are varied and the test is executed repeatedly. The shape of the failing region is meaningful and helps in determining the cause of the failure. A shmoo plot of normal circuit operation shows better high-frequency performance as supply voltage increases, as shown in Fig. 1a. Other shapes frequently seen include the curlback (Fig. 1b), ceiling (Fig. 1c), floor (Fig. 1d), wall (Fig. 1e), finger (Fig. 1f), and breaking wave (Fig. 1g).

Techniques for improving reliability Read assist circuits Write assist circuits Error correction methods

Multiple voltages for NM improvement

Threshold voltage variation S. Mukhopadhyay, JSSC 2007 Monte-carlo simulation Access (reduction in the BL-differential produced), Higher Vt  lower BL swing Read (data flipping while reading), lower v- trip write (unsuccessful write) Higher Vt  weaker PU ration hold (data flipping at a lower supply voltage in standby mode)

Solution: Adaptive body bias

Dynamic VDD Selection Higher write noise margin  more power

Read Write-assist circuits Keeps WL voltage in check (lower for stable read) Charge redistribution between cell VDD and down Vdd

Pulsed WL and BL Minimization of WL activation Threats write:  Read Modify Write is used for all columns

Pulsed WL and BL On pseudo read columns the BLs are precharged to a lower voltage than VDD to maintain stability of the cell  Weaker access  lower delta V to trip the cell

Dynamic Body Bias Forward BB: stronger PMOS makes a wider butter fly curve  more SNM during read operation Higher leakage (only applied on selected banks) On-chip programma ble voltage generator with N-well resistors

Read assist Pilo, JSSC’07Divided BLs Local SA

Read assist When enabled the half selected BL/read BL get full swing Masks the BL of the half selected columns that do not need full amplification to save power 10% more power at nominal voltage Yet allows for 1.2  0.9 VDD reduction and keeps the array stable  saves power at the end Mask registers are loaded during power up

Write assist Weaker PMOS is needed Supply reduction of the to be written cells by ~200mV Only the columns to be written on get the lower supply voltage: a power decoder is needed

Reference generator Write voltageBi-directional/data dependent current flow Old data: VDD  VWR New data: sink data from VWR to charge up the new 1 node Writing old data  pulls up VWR  push-pull is needed at Ref. Generator

Redundancy in SRAMs

Redundancy

Error Correction Code

Multi-bit errors

Multi-bit errors: Interleaving

Future trends More than 6T cells Change in technology eDRAM

More transistors

Thin Body MOSFETs

Double Gate FinFET

Double Gate vs. Tri-Gate

Independent Gate operation

Applications

Independent Gate Operation 6-T SRAM in Bulk-Si

6T SRAM with FinFET

6T SRAM with 2 FinFET

Embedded DRAM