from High-frequency Clocks using DC-DC Converters

Slides:



Advertisements
Similar presentations
Transmission Gate Based Circuits
Advertisements

DC-DC Fundamentals 1.4 Charge Pump Regulator
COMP541 Transistors and all that… a brief overview
M2-3 Buck Converter Objective is to answer the following questions: 1.How does a buck converter operate?
A Resonant Clock Generator for Single-Phase Adiabatic Systems Conrad H. Ziesler Marios C. Papaefthymiou University of Michigan, Ann Arbor, MI Suhwan Kim.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Electronic Engineering Final Year Project 2008 By Claire Mc Kenna Title: Point of Load (POL) Power Supply Design Supervisor: Dr Maeve Duffy.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Clock Design Adopted from David Harris of Harvey Mudd College.
CIRCUITS, DEVICES, AND APPLICATIONS Eng.Mohammed Alsumady
Mehdi Alimadadi, Samad Sheikhaei, Guy Lemieux, Shahriar Mirabbasi, Patrick Palmer University of British Columbia (UBC) Vancouver, BC, Canada A 3GHz Switching.
 C. H. Ziesler etal., 2003 Energy Recovering ASIC Design Advanced Computer Architecture Laboratory Department of Electrical Engineering and Computer Science.
Chapter 20 Quasi-Resonant Converters
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Fundamentals of Power Electronics 1 Chapter 20: Quasi-Resonant Converters 20.2 Resonant switch topologies Basic ZCS switch cell: SPST switch SW : Voltage-bidirectional.
Lecture 8: Clock Distribution, PLL & DLL
11/5/2004EE 42 fall 2004 lecture 281 Lecture #28 PMOS LAST TIME: NMOS Electrical Model – NMOS physical structure: W and L and d ox, TODAY: PMOS –Physical.
Chapter 20 Quasi-Resonant Converters
Towards An Efficient Low Frequency Energy Recovery Dynamic Logic Sujay Phadke Advanced Computer Architecture Lab Department of Electrical Engineering and.
1 High Speed Fully Integrated On-Chip DC/DC Power Converter By Prabal Upadhyaya Sponsor: National Aeronautics and Space Administration.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Switching-Mode Regulators
Direct-Off-Line Single-Ended Forward Converters and The Right-Half-Plane Zero Presented by: Geetpal Kaur EE136 Student 12/06/2003.
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
Copyright by UNIT III DC Choppers 4/17/2017 Copyright by
Chapter 20 Quasi-Resonant Converters
Field-Effect Transistors 1.Understand MOSFET operation. 2. Understand the basic operation of CMOS logic gates. 3. Make use of p-fet and n-fet for logic.
Integrated Regulation for Energy- Efficient Digital Circuits Elad Alon 1 and Mark Horowitz 2 1 UC Berkeley 2 Stanford University.
Waveforms of the half-wave ZCS quasi-resonant switch cell
Instrumentation & Power Electronics
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
Buck Regulator Architectures
Power Electronics Notes 07B Some Real-World Issues in DC/DC Converters
DC-DC Fundamentals 1.3 Switching Regulator
ACES Workshop 3-4 March, 2009 W. Dabrowski Serial power circuitry in the ABC-Next and FE-I4 chips W. Dabrowski Faculty of Physics and Applied Computer.
Power Electronics Notes 07A Introduction to DC/DC Converters
Switching Power Supply Component Selection
DC/DC Converter with Transparent Electronics for application on Photovoltaic Panels Romano Torres 19th July Supervisor: Vitor Grade Tavares Second.
Power Electronics and Drives (Version ) Dr. Zainal Salam, UTM-JB 1 Chapter 3 DC to DC CONVERTER (CHOPPER) General Buck converter Boost converter.
Switching Power Supplies Week 6
© Digital Integrated Circuits 2nd Sequential Circuits Digital Integrated Circuits A Design Perspective Designing Sequential Logic Circuits Jan M. Rabaey.
Switched capacitor DC-DC converter ASICs for the upgraded LHC trackers M. Bochenek 1,2, W. Dąbrowski 2, F. Faccio 1, S. Michelis 1 1. CERN, Conseil Européen.
Zero Voltage Switching Quasi-resonant Converters
A Unified Model for the ZVS DC-DC Converters With Active Clamp
1 IN THE NAME GOD Advanced VLSI Class Presentation A 1.1GHz Charge Recovery Logic Insructor : Dr. Fakhrayi Presented by : Mahdiyeh Mehran.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Lecture 2 1 Computer Elements Transistors (computing) –How can they be connected to do something useful? –How do we evaluate how fast a logic block is?
A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology
Lecture # 12&13 SWITCHING-MODE POWER SUPPLIES
Power Management for Embedded Systems. Power requirement for Embedded Micro Systems Multiple supply voltages Small size in all components, L R C etc High.
PHY 202 (Blum)1 Analog-to-Digital Converter and Multi-vibrators.
1 Current Model Buck Converter Example LM3495 LM5576 LT3713 All materials are from National Semiconductor website available to any readers, Linear Technology.
PHY 202 (Blum)1 Analog-to-Digital Converter and Multi-vibrators.
DCSL & LVDCSL: A High Fan-in, High Performance Differential Current Switch Logic Families Dinesh Somasekhaar, Kaushik Roy Presented by Hazem Awad.
Electronic Engineering Final Year Project 2008 By Claire Mc Kenna Title: Point of Load (POL) Power Supply Design Supervisor: Dr Maeve Duffy.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Work Package 3 On-detector Power Management Schemes ESR Michal Bochenek ACEOLE Twelve Month Meeting 1st October 2009 WPL Jan Kaplon.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
A NOVEL CONTROL METHOD OF DC-DC CONVERTERS Dr.M.Nandakumar Professor Department of Electrical engineering Govt. Engineering College Thrissur 1 Dept. of.
Bi-CMOS Prakash B.
ASIC buck converter prototypes for LHC upgrades
An Improved “Soft” eFPGA Design and Implementation Strategy
A complete DC/DC converter ASIC for LHC upgrades S. Michelis, F. Faccio, G. Blanchot, I. Troyano CERN PH-ESE S.Saggini University of Udine, Italy Twepp.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 28, NO. 11, NOVEMBER 2013 Yuanmao Ye and K. W. E. Cheng A Family of Single-Stage Switched-Capacitor–Inductor.
Switch Mode Power Supply(SMPS) BY: Arijit Acharya NETAJI SUBHASH ENGINEERING COLLEGE M.tech(P.S.) Roll No - 1.
Switching-Mode Regulators
Presentation transcript:

from High-frequency Clocks using DC-DC Converters Energy Recovery from High-frequency Clocks using DC-DC Converters Mehdi Alimadadi, Samad Sheikhaei, Guy Lemieux, Shahriar Mirabbasi, William Dunford University of British Columbia, Canada Patrick Palmer University of Cambridge, UK

Clock power in high-performance CPUs Problem Clock power in high-performance CPUs CPU Year Clock Power % Power for Clock Clock Power Intel McKinley 2002 (180nm) 1 GHz 130W 33% 43W Intel Montecito 2005 (90nm) 2.5 GHz 85W 30% 25W IBM Power 6 2007 (65nm) 5 GHz > 100W 22% > 22W Cause Charge big clock capacitor Cclk with energy Discharge Cclk energy to GND (WASTE IT!!) Repeat every clock cycle

Primary Contribution of This Work Discharge Cclk using DC-DC converter instead of GND Use converter to power useful load (Rload) Integrated clock drivers with DC-DC converters Net savings in power  Voltage feedback (for regulation) Useful Load

Summary Results Explore 3 main DC-DC power converter topologies Buck converter our previous work [ ISSCC 2007 ] Boost converter this paper [ ISVLSI 2008 ] Buck-boost converter this paper [ ISVLSI 2008 ] 90nm layouts, 3GHz operation, < 0.3mm2 Clock-only power (input) Extra power to operate converter (input) Converter output power % clock energy recovered Buck converter [ ISSCC2007 ] 40mW 16mW 26mW 50% Boost converter 100mW 25mW 28mW 20% Buck-boost converter 72mW 48mW 30%

Background

Background – Typical Clocking Architecture Bottom mesh Final H-tree Clock Source Level 3 Gaters & Final drivers Level 1 & Level 2 H-tree

Background – Typical Clocking Architecture Clock distribution Majority of energy used by final drivers Levels 1, 2 H-trees Tunable delays (CVDs) to eliminate skew Low-swing, differential  low power, noise immunity ~ 5W of power Level 3 Gaters reduce clock activity 50-85% (Power6) Can’t eliminate all activity  still need a clock to compute Final clock drivers Full-rail swing  tapered inverters drive hundreds latches, high power H-tree with ends shorted by Mesh  low skew, high power ~15W to 40W of power

Background –Reducing Clock Power Clock distribution Low-swing (differential) signals Final drivers need full-rail Resonant clocking (saves 80%) Final drivers need square clock Final clock drivers Adiabatic switching Low-performance, < 100MHz Double-edge clocking Feasible, but complex flip-flops, larger loads Compatible with energy recovery in this paper

Background – Switch Mode Power Supplies Basic DC-DC converter topologies Buck Step down 0 Vout  VDD Boost Step up VDD  Vout Buck-boost Negative step up/down Vout  0

Background – Switch Mode Power Supplies DC-DC buck converter CMOS inverter as power switches Implementation of zero-voltage switching (ZVS) Turn on NMOS when Vinv= 0 Turn on PMOS when Vinv=Vdd

Integrated clock driver / power converter Background ISSCC 2007 Design ZVS  delay circuit Integrated clock driver / power converter

Integration of Clock and SMPS CPU clock: 3GHz clock and large Cclk SMPS: large Mp, Mn drive chain

Integration of Clock and SMPS Combine the driver circuits

Key Concept: Energy Recycling Benefits Shared driver chain Cclk added to SMPS Red path NMOS drains Cclk  wastes charge! Blue path Delay NMOS turn-on  recovers clock charge! ZVS (zero voltage switching) in power electronics

ZVS Detailed Operation ZVS delay circuit D Delay only rising edge of Vn Implemented inside the clock chain

ZVS Detailed Operation (Mode 1) Mode 1 (0 < t < DTsw) Mp is ON Current builds up in the inductor Cclk charges up D = Duty cycle Tsw = Switching period

ZVS Detailed Operation (Mode 2) Mode 2 (DTsw < t < DTsw+Tzvs) Both power transistors are OFF Inductor current discharges Cclk Cclk charge is recycled to output load D = Duty cycle Tsw = Period Tzvs = ZVS delay

ZVS Detailed Operation (Mode 3) Mode 3 (DTsw+Tzvs < t < Tsw) Mn turns ON when Vclk  0 ZVS for Mn Inductor current decreases linearly D = Duty cycle Tsw = Period Tzvs = ZVS delay

Detailed Operation ZVS delay circuit for Mn Delay rising edge of Vn

Detailed Operation ZVS delay circuit for Mn Falling edges of Vp and Vn are synchronized

Simulation Voltages

Simulation Currents

Effective Efficiency How to measure power efficiency after clock drivers are integrated with DC-DC converters ? Converter gets “free energy” from clock Effective efficiency: how efficient a regular (standalone) power converter must be to equal the efficiency of integrated clock/power converter Raw efficiency Effective efficiency

Buck Converter – Simulation Results Open loop converter (no regulation) Higher efficiency at lowest duty cycle because only a fixed amount of energy is available from Cclk

ISSCC 2007 90nm test chip 1mm2, buck converter 0.27mm2

Buck Converter – Chip Measurement vs. Simulation Results Chip Measurement Simulation (3GHz)

ISVLSI 2008 New Design 1 Boost Converter

Boost Converter Basic operation 0th order result… Vout = D/(1-D)*Vdd Vclk provides power & timing 0th order result… Vout = D/(1-D)*Vdd

Boost Converter

Boost Converter – Simulation Results Open loop converter (no regulation) Higher efficiency at lowest duty cycle because only a fixed amount of energy is available from Cclk

ISVLSI 2008 New Design 2 Buck-boost Converter

Buck-boost Converter Basic operation Vclk provides power & timing 0th order result… Vout = -D2/(1-D)*Vdd

Buck-boost Converter

Buck-boost Converter Open loop converter (no regulation) Higher efficiency at lowest duty cycle because only a fixed amount of energy is available from Cclk

Results and Comparisons

Summary Results Clock-only power (input) Extra power to operate converter (input) Converter output power % clock energy recovered Buck converter [ ISSCC2007 ] 40mW 16mW 26mW 50% Boost converter 100mW 25mW 28mW 20% Buck-boost converter 72mW 48mW 30% 90nm layouts, 3GHz operation, < 0.3mm2

Comparative Results IBM Power6 100W@1V, 341mm2  Cclk = 13pF/mm2 Other work: fully on-chip DC-DC buck converter S. Abedinpour, B. Bakkaloglu, and S. Kiaei, "A Multi-Stage Interleaved Synchronous Buck Converter with Integrated Output Filter in a 0.18µm SiGe Process," ISSCC 2006, pp. 356–357 27mm2, 45MHz 65% power efficiency This work 0.27, 0.26, 0.20 mm2, including 0.1mm2 inductor area, 3GHz Cclk 20pF, equiv to 1.6mm2 of Power6 area DC-DC converter adds 12.5% area overhead LC filter: 310pH inductor, 350pF capacitor L and C similar and dominate layout area  can stack to cut area in half Buck: 75 – 185% effective power efficiency (50% recovered) Boost: 25 – 110% effective power efficiency (20% recovered) Buck-boost: 20 – 66% effective power efficiency (30% recovered)

Conclusion Key concepts Limitations Future work High switching frequency  saves area Combined drivers  saves area and switching loss Recycled charge  converter load discharges Cclk ZVS delay circuit  lower power loss Limitations Regulation needs variable duty cycle clock May introduce additional clock jitter Mostly suitable for edge-triggered blocks (no latches) Future work Lots of improvements to make!

Thank you! Questions ?