9. Fault Modeling Reliable System Design 2011 by: Amir M. Rahmani.

Slides:



Advertisements
Similar presentations
IC TESTING.
Advertisements

The scale of IC design Small-scale integrated, SSI: gate number usually less than 10 in a IC. Medium-scale integrated, MSI: gate number ~10-100, can operate.
Chapter 3 Fault Modeling
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
CMP238: Projeto e Teste de Sistemas VLSI Marcelo Lubaszewski Aula 2 - Teste PPGC - UFRGS 2005/I.
3. Hardware Redundancy Reliable System Design 2010 by: Amir M. Rahmani.
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
Logic Simulation 4 Outline –Fault Simulation –Fault Models –Parallel Fault Simulation –Concurrent Fault Simulation Goal –Understand fault simulation problem.
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Switch networks. n Combinational testing.
Transistor-Transistor Logic (TTL) Lecture L4.1. Transistor-Transistor Logic (TTL) Developed in mid-1960s Large family (74xx) of chips from basic gates.
Lecture 5 Fault Modeling
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
1 Introduction VLSI Testing. 2 Overview First digital products (mid 1940's) Complexity:low MTTF:hours Cost:high Present day products (mid 1980's) Complexity:high.
Convolutional Code Based Concurrent Error Detection in Finite State Machines Konstantinos N. Rokas Advisor: Prof. Yiorgos Makris.
Combinatorial Logic Design Process. Example: Three 1s pattern detector Detect whether a pattern of at least three adjacent 1s occurs anywhere in an 8-bit.
March 17, 2008Southeastern Symposium on System Theory (SSST) 2008, March 16-18, New Orleans, Louisiana 1 Nitin Yogi and Dr. Vishwani D. Agrawal Auburn.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 14 - Testing.
5/24/2016 Based on text by S. Mourad "Priciples of Electronic Systems" Digital Testing: Defects, Failures and Faults.
Introduction to Digital Logic Design Appendix A of CO&A Dr. Farag
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Fault Modeling.
Technical University Tallinn, ESTONIA 1 Boolean derivatives Calculation of the Boolean derivative: Given:
Chapter 2: Fundamentals of Digital Electronics Dr Mohamed Menacer Taibah University
Ketan Patel, Igor Markov, John Hayes {knpatel, imarkov, University of Michigan Abstract Circuit reliability is an increasingly important.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Switch networks. n Combinational testing.
Chap1. Fundamentals.1 Fundamentals on Testing and Design for Testability.
Modern VLSI Design 3e: Chapter 5,6 Copyright  2002 Prentice Hall PTR Adapted by Yunsi Fei Topics n Sequential machine (§5.2, §5.3) n FSM construction.
Unit I Testing and Fault Modelling
1 5. Application Examples 5.1. Programmable compensation for analog circuits (Optimal tuning) 5.2. Programmable delays in high-speed digital circuits (Clock.
Digital Logic Design Lecture # 9 University of Tehran.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
4. Combinational Logic Networks Layout Design Methods 4. 2
Page 1EL/CCUT T.-C. Huang Mar TCH CCUT Introduction to IC Test Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Eng. Chong Chou Institute of Tech.
ECE 260B – CSE 241A Testing 1http://vlsicad.ucsd.edu ECE260B – CSE241A Winter 2005 Testing Website:
1 Note on Testing for Hardware Components. 2 Steps in successful hardware design (basic “process”): 1.Understand the requirements (“product’) 2.Write.
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
TOPIC : Different levels of Fault model UNIT 2 : Fault Modeling Module 2.1 Modeling Physical fault to logical fault.
EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University
Fault modeling is the translation of physical defects into a mathematical construct that can be operated upon algorithmically and.
Outline MSI Parts as a Decoder Multiplexer Three State Buffer MSI Parts as a Multiplexer Realization of Switching Functions Using Multiplexers.
Technical University Tallinn, ESTONIA 1 Overview: Fault Modelling Faults, errors and defects Stuck-at-faults (SAF) Fault equivalence and fault dominance.
An introduction to Fault Detection in Logic Circuits By Dr. Amin Danial Asham.
CS/EE 3700 : Fundamentals of Digital System Design
Protocol Layering Chapter 11.
Manufacture Testing of Digital Circuits
Jan. 26, 2001VLSI Test: Bushnell-Agrawal/Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault models.
Silicon Programming--Testing1 Completing a successful project (introduction) Design for testability.
TOPIC : Introduction to Faults UNIT 2: Modeling and Simulation Module 1 : Logical faults due to physical faults.
Chapter 3 Digital Logic Structures
Digital Logic Design Lecture # 13 University of Tehran.
TOPIC : Fault detection and fault redundancy UNIT 2 : Fault modeling Module 2.3 Fault redundancy and Fault collapsing.
DS - IX - NFT - 0 HUMBOLDT-UNIVERSITÄT ZU BERLIN INSTITUT FÜR INFORMATIK DEPENDABLE SYSTEMS Vorlesung 9 NETWORK FAULT TOLERANCE Wintersemester 99/00 Leitung:
VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
CMOS technology and CMOS Logic gate. Transistors in microprocessors.
©2010 Cengage Learning SLIDES FOR CHAPTER 8 COMBINATIONAL CIRCUIT DESIGN AND SIMULATION USING GATES Click the mouse to move to the next page. Use the ESC.
©2010 Cengage Learning SLIDES FOR CHAPTER 8 COMBINATIONAL CIRCUIT DESIGN AND SIMULATION USING GATES Click the mouse to move to the next page. Use the ESC.
ELE 523E COMPUTATIONAL NANOELECTRONICS
Logic Devices. Decoder 2-to-4 Decoder 3-to-8 Decoder.
VLSI Testing Lecture 14: System Diagnosis
Lecture 8 Combinational Network Design and Issues
Defect and High Level Fault Modeling in Digital Systems
Lecture 5 Fault Modeling
Automatic Test Generation for Combinational Circuits
Topics Switch networks. Combinational testing..
VLSI Testing Lecture 3: Fault Modeling
Manufacturing Testing
ELE 523E COMPUTATIONAL NANOELECTRONICS
Presentation transcript:

9. Fault Modeling Reliable System Design 2011 by: Amir M. Rahmani

matlab1.ir Amir M. Rahmani Fault Modeling Why fault modeling? Stuck-at 0/1 fault model The single fault assumption Bridging and delay faults MOS transistors and CMOS Switch-level fault model – Stuck-on/open Functional Faults State Transition Fault Model

matlab1.ir Amir M. Rahmani Fault Modeling Fault Model: a set of assumed faults in a system such that testing for them will test for most faults of a specific class. Used for: Designing error detection mechanisms Designing test procedure Fault simulation Quality evaluation Hide complexities of actual defects. Infinitely many defects possible. Based on past knowledge of defect modes and modeling experience.

matlab1.ir Amir M. Rahmani Common Fault Models No model: test exhaustively Hardware fault models: – Gate level: stuck-at 0/1 bridging delay faults – Transistor level: stuck on/open bridging – Functional fault models Software fault model – Is there one?

matlab1.ir Amir M. Rahmani Fault mechanisms in hardware Temporary Permanent – Opens: broken connection, also near-opens – Shorts: unwanted connection, also near shorts – Can be seen Imperfect devices

matlab1.ir Amir M. Rahmani Stuck-at 0/1 Model Model: any one or more of these may be stuck at 0 or 1: a gate input, a gate output Assumptions: Only one line is faulty. Faulty line permanently set to 0 or 1. Fault can be at an input or output of a gate.

matlab1.ir Amir M. Rahmani Stuck-at 0/1 Example

Why Use Single Stuck-At Fault Model? Complexity is greatly reduced. Many different physical defects may be modeled by the same logical stuck-at fault. Single stuck-at Fault is technology independent. it been successfully used on TTL, ECL, CMOS, etc. Single stuck-at tests cover a large percentage of multiple stuck-at faults. Single stuck-at tests cover a large percentage of un-modeled physical defects. matlab1.ir Amir M. Rahmani

Bridging (Short) Fault Model Common assumption: only nearby lines can be bridged Model: Two lines x and y bridged can cause both to take the value – x AND y AND-bridging (0-dominance) – x OR y OR-bridging (1-dominance) – Depends on technology, transistor dimensions etc.

matlab1.ir Amir M. Rahmani Bridging Fault Example

matlab1.ir Amir M. Rahmani Delay Fault Model

matlab1.ir Amir M. Rahmani MOS Transistors

matlab1.ir Amir M. Rahmani CMOS NOR Gate

matlab1.ir Amir M. Rahmani Switch-level Fault Model (1)

matlab1.ir Amir M. Rahmani Switch-level Fault Model (2): Stuck-ON

Functional Faults Fault effects modeled at a higher level than logic for function modules, such as Decoders Multiplexers Adders Counters RAMs ROMs matlab1.ir Amir M. Rahmani

Functional Faults of Decoder matlab1.ir Amir M. Rahmani Instead of line L i, Line L j is selected In addition to L i, L j (or L k ) is selected None of the lines are selected

State Transition Fault Model matlab1.ir Amir M. Rahmani A fault causes a single state transition to a wrong destination state