A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering.

Slides:



Advertisements
Similar presentations
MIP-based Detailed Placer for Mixed-size Circuits Shuai Li, Cheng-Kok Koh ECE, Purdue University {li263,
Advertisements

Multilevel Hypergraph Partitioning Daniel Salce Matthew Zobel.
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
An Effective Floorplanning Algorithm in Mixed Mode Placement Integrated with Rectilinear- Shaped Optimization for Soft Blocks Changqi Yang, Xianlong Hong,
Yi-Lin Chuang1, Sangmin Kim2, Youngsoo Shin2, and Yao-Wen Chang National Taiwan University, Taiwan KAIST, Korea 2010 DAC.
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
Natarajan Viswanathan Min Pan Chris Chu Iowa State University International Symposium on Physical Design April 6, 2005 FastPlace: An Analytical Placer.
X-Architecture Placement Based on Effective Wire Models Tung-Chieh Chen, Yi-Lin Chuang, and Yao-Wen Chang Graduate Institute of Electronics Engineering.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Shuai Li and Cheng-Kok Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, Mixed Integer Programming Models.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
SimPL: An Effective Placement Algorithm Myung-Chul Kim, Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1ICCAD 2010, Myung-Chul Kim,
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
A Clustering Utility Based Approach for S. Areibi, M. Thompson, A. Vannelli uoguelph.ca September 2001 School of Engineering ASIC Design 14th.
Congestion Driven Placement for VLSI Standard Cell Design Shawki Areibi and Zhen Yang School of Engineering, University of Guelph, Ontario, Canada December.
Placer Suboptimality Evaluation Using Zero-Change Transformations Andrew B. Kahng Sherief Reda VLSI CAD lab UCSD ECE and CSE Departments.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
ISQED’2015: D. Seemuth, A. Davoodi, K. Morrow 1 Automatic Die Placement and Flexible I/O Assignment in 2.5D IC Design Daniel P. Seemuth Prof. Azadeh Davoodi.
APLACE: A General and Extensible Large-Scale Placer Andrew B. KahngSherief Reda Qinke Wang VLSICAD lab University of CA, San Diego.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
An Analytic Placer for Mixed-Size Placement and Timing-Driven Placement Andrew B. Kahng and Qinke Wang UCSD CSE Department {abk, Work.
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Fuzzy Evolutionary Algorithm for VLSI Placement Sadiq M. SaitHabib YoussefJunaid A. Khan Department of Computer Engineering King Fahd University of Petroleum.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
Page 1 Department of Electrical Engineering National Chung Cheng University, Chiayi, Taiwan Power Optimization for Clock Network with Clock Gate Cloning.
Chih-Hung Lin, Kai-Cheng Wei VLSI CAD 2008
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
 Optimal Packing of High- Precision Rectangles By Eric Huang & Richard E. Korf 25 th AAAI Conference, 2011 Florida Institute of Technology CSE 5694 Robotics.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
Global Routing.
Block-level 3D IC Design with Through-Silicon-Via Planning Dae Hyun Kim, Rasit Onur Topaloglu, and Sung Kyu Lim Department of Electrical and Computer Engineering,
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Solving Hard Instances of FPGA Routing with a Congestion-Optimal Restrained-Norm Path Search Space Keith So School of Computer Science and Engineering.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
Gordian Placement Tool: Quadratic and Linear Problem Formulation Ryan Speelman Jason Gordon Steven Butt EE 201A
Analytic Placement. Layout Project:  Sending the RTL file: −Thursday, 27 Farvardin  Final deadline: −Tuesday, 22 Ordibehesht  New Project: −Soon 2.
Improved Cut Sequences for Partitioning Based Placement Mehmet Can YILDIZ and Patrick H. Madden State University of New York at BinghamtonComputer Science.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Multilevel Generalized Force-directed Method for Circuit Placement Tony Chan 1, Jason Cong 2, Kenton Sze 1 1 UCLA Mathematics Department 2 UCLA Computer.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,
Deferred Decision Making Enabled Fixed- Outline Floorplanner Jackey Z. Yan and Chris Chu DAC 2008.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Quadratic VLSI Placement Manolis Pantelias. General Various types of VLSI placement  Simulated-Annealing  Quadratic or Force-Directed  Min-Cut  Nonlinear.
Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building Yanfeng Wang, Qiang Zhou, Xianlong Hong, and Yici Cai Department of Computer Science and.
LatchPlanner:Latch Placement Algorithm for Datapath-oriented High-Performance VLSI Design Minsik Cho, Hua Xiang, Haoxing Ren, Matthew M. Ziegler, Ruchir.
A Stable Fixed-outline Floorplanning Method Song Chen and Takeshi Yoshimura Graduate School of IPS, Waseda University March, 2007.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
By P.-H. Lin, H. Zhang, M.D.F. Wong, and Y.-W. Chang Presented by Lin Liu, Michigan Tech Based on “Thermal-Driven Analog Placement Considering Device Matching”
1 NTUplace: A Partitioning Based Placement Algorithm for Large-Scale Designs Tung-Chieh Chen 1, Tien-Chang Hsu 1, Zhe-Wei Jiang 1, and Yao-Wen Chang 1,2.
May Mike Drob Grant Furgiuele Ben Winters Advisor: Dr. Chris Chu Client: IBM IBM Contact – Karl Erickson.
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
A Snap-On Placement Tool Israel Waldman. Introduction.
HeAP: Heterogeneous Analytical Placement for FPGAs
EE5780 Advanced VLSI Computer-Aided Design
EDA Lab., Tsinghua University
Presentation transcript:

A Size Scaling Approach for Mixed-size Placement Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan School of Electrical and Computer Engineering Purdue University, West Lafayette ISPD’12

Outline Introduction Preliminaries Algorithm Experimental results Conclusion

Introduction In modern design, the sizes of transistors become smaller and smaller and usually with big macros. The placement of mixed-size designs is much more difficult than the standard-cell placement. The majority of placement algorithms utilize clustering and execute placement in a multi-level scheme. - NTUPlace3, APlace2.0, FastPlace3.0

The multi-level approach, despite being fast and scalable, has the inherent drawback of being dependent of the clustering algorithm. Abrupt changes to cell locations during the multi-level placement framework Large macros, they pose barriers and prevent cells from migrating to their natural locations. We propose a global placement algorithm that employs size scaling of circuit components to provide continuity during placement.

Preliminaries A global placement algorithm Take into account the sizes of the components and the locations of fixed macros on the chip. Only approximate locations for movable macros and cells are determined at this stage. The final locations are assigned during the legalization and detailed placement stage.

Input: a circuit net-list that represents hypergraph with vertices corresponding to the circuit components and nets corresponding to the connections among the components. Objective: minimizing the wire-length on the chip, while simultaneously eliminating cell overlapping.

(x, y): the vector of cell and movable macro coordinates WL(x, y): the wirelength of the placement SDb(x, y): the total area of movable macros and cells in bin b SDb,fixed(x, y): the total area of fixed macros inside b Wb: the width of b Hb: the height of b

Transforms formulation (1) to an unconstrained problem. solve the placement problem as a sequence of unconstrained optimization problems ( at most 50 times )

The wirelength of a net is calculated as the half-perimeter wirelength (HPWL) The objective function need to be smoothed as differentiable function so that it can be solved by analytical methods.

Algorithm Size scaling - the main global placement Optimal region approach - generate the initial placement for our global placement

Size scaling In this approach, we first scale down the dimensions of the placement components. Then, it gradually adjusts the dimensions of the components until they have reached their original sizes.

W cell : the width of the cell in the modified circuit. H cell : the height of the cell in the modified circuit. W macro : the width of the macro in the modified circuit. H macro : the height of the macro in the modified circuit. cell width,min : the width of the smallest cell in the original circuit. cell height,min : the height of the smallest cell in the original circuit. cell width,orig : the width of a cell in the original circuit. cell height,orig : the height of a cell in the original circuit. macro width,min : the width of the smallest macro in the original circuit. macro height,min : the height of the smallest macro in the original circuit. macro width,orig : the width of a macro in the original circuit. macro height,orig : the height of a macro in the original circuit.

The value of NumStep is proportional to the ratio between the area of the largest macro and the area of the smallest macro of the design. For 0 <= Step < NumStep

Each unconstrained optimization problem is solved iteratively. For the first unconstrained problem u is set to be gDPtotal(x, y): the gradient of the cell potential function. gWLtotal(x, y): the gradient of the wirelength function. The first problem have been satisfied, the algorithm uses an update scheme that halves u. The next unconstrained optimization problem of the sequence is solved with an updated u, starting from the placement solution of the previous problem.

Optimal region approach To determine an initial placement for our algorithm. For each cell or movable macro, constructing bounding boxes based on the coordinates of the pins of fixed macros that belong to the same hyperedge and excluding the location of that particular cell or movable macro. The optimal region is defined by the intersection of the median of the horizontal coordinates and the median of the vertical coordinates of the bounding boxes associated with the cell or movable macro.

(left reg, bot reg ): the coordinates of the bottom-left of the optimal region w reg (h reg ): the width (height) of the optimal region corresponding to v. RAND MAX : the maximum value returned by a pseudorandom integer rand(). rand(): range 0 to RAND MAX

Experimental results

Conclusion The placer combined size scaling with the optimal region approach as an alternative to multi-level circuit placement. Our algorithm produced high quality placement solutions for the circuits of the ISPD 2005 and ISPD 2006 mixed- size placement benchmark suites.