A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.

Slides:



Advertisements
Similar presentations
Reconfigurable Computing After a Decade: A New Perspective and Challenges For Hardware-Software Co-Design and Development Tirumale K Ramesh, Ph.D. Boeing.
Advertisements

FPGA (Field Programmable Gate Array)
Hao wang and Jyh-Charn (Steve) Liu
TIE Extensions for Cryptographic Acceleration Charles-Henri Gros Alan Keefer Ankur Singla.
1 SECURE-PARTIAL RECONFIGURATION OF FPGAs MSc.Fisnik KRAJA Computer Engineering Department, Faculty Of Information Technology, Polytechnic University of.
Advanced Processor Architectures for Embedded Systems Witawas Srisa-an CSCE 496: Embedded Systems Design and Implementation.
A Reconfigurable Signal Processing IC with embedded FPGA and Multi-Port Flash Memory M. Borgatti, L. Calì, G. De Sandre, B. Forêt, D. Iezzi, F. Lertora,
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
EECE579: Digital Design Flows
Extensible Processors. 2 ASIP Gain performance by:  Specialized hardware for the whole application (ASIC). −  Almost no flexibility. −High cost.  Use.
Physical Implementation 1)Manufactured Integrated Circuit (IC) Technologies 2)Programmable IC Technology 3)Other Technologies Other Technologies 1. Off-The-Shelf.
Lecture 26: Reconfigurable Computing May 11, 2004 ECE 669 Parallel Computer Architecture Reconfigurable Computing.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
Define Embedded Systems Small (?) Application Specific Computer Systems.
Configurable System-on-Chip: Xilinx EDK
Introduction to ARM Architecture, Programmer’s Model and Assembler Embedded Systems Programming.
State Machines Timing Computer Bus Computer Performance Instruction Set Architectures RISC / CISC Machines.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
UCB November 8, 2001 Krishna V Palem Proceler Inc. Customization Using Variable Instruction Sets Krishna V Palem CTO Proceler Inc.
HW/SW Co-Synthesis of Dynamically Reconfigurable Embedded Systems HW/SW Partitioning and Scheduling Algorithms.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
Using FPGAs with Embedded Processors for Complete Hardware and Software Systems Jonah Weber May 2, 2006.
Future FPGA Development Duane McDonald Digital Electronics 3.
Computer performance.
Xilinx at Work in Hot New Technologies ® Spartan-II 64- and 32-bit PCI Solutions Below ASSP Prices January
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab Spring 2009.
Ross Brennan On the Introduction of Reconfigurable Hardware into Computer Architecture Education Ross Brennan
February 12, 1998 Aman Sareen DPGA-Coupled Microprocessors Commodity IC’s for the Early 21st Century by Aman Sareen School of Electrical Engineering and.
1 3-General Purpose Processors: Altera Nios II 2 Altera Nios II processor A 32-bit soft core processor from Altera Comes in three cores: Fast, Standard,
Paper Review: XiSystem - A Reconfigurable Processor and System
A RISC ARCHITECTURE EXTENDED BY AN EFFICIENT TIGHTLY COUPLED RECONFIGURABLE UNIT Nikolaos Vassiliadis N. Kavvadias, G. Theodoridis, S. Nikolaidis Section.
Automated Design of Custom Architecture Tulika Mitra
J. Christiansen, CERN - EP/MIC
IEEE ICECS 2010 SysPy: Using Python for processor-centric SoC design Evangelos Logaras Elias S. Manolakos {evlog, Department of Informatics.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
EE3A1 Computer Hardware and Digital Design
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
COARSE GRAINED RECONFIGURABLE ARCHITECTURES 04/18/2014 Aditi Sharma Dhiraj Chaudhary Pruthvi Gowda Rachana Raj Sunku DAY
Development of Programmable Architecture for Base-Band Processing S. Leung, A. Postula, Univ. of Queensland, Australia A. Hemani, Royal Institute of Tech.,
What is a Microprocessor ? A microprocessor consists of an ALU to perform arithmetic and logic manipulations, registers, and a control unit Its has some.
Survey of multicore architectures Marko Bertogna Scuola Superiore S.Anna, ReTiS Lab, Pisa, Italy.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
An Improved “Soft” eFPGA Design and Implementation Strategy
A 1.2V 26mW Configurable Multiuser Mobile MIMO-OFDM/-OFDMA Baseband Processor Motivations –Most are single user, SISO, downlink OFDM solutions –Training.
FPGA Field Programmable Gate Arrays Shiraz University of shiraz spring 2012.
Thinning Lines Between Software and Hardware Programmable Logic Devices Adam Foust.
Microprocessor Design Process
FPGA Technology Overview Carl Lebsack * Some slides are from the “Programmable Logic” lecture slides by Dr. Morris Chang.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Programmable Logic Devices
Programmable Hardware: Hardware or Software?
Summary Remaining Challenges The Future Messages to Take Home.
Dynamo: A Runtime Codesign Environment
ECE354 Embedded Systems Introduction C Andras Moritz.
Difference Between SOC (System on Chip) and Single Board Computer
EMBEDDED SYSTEMS
ENG3050 Embedded Reconfigurable Computing Systems
Chapter 1: Introduction
Chapter 1: The 8051 Microcontrollers
Dynamically Reconfigurable Architectures: An Overview
Computer Evolution and Performance
A small SOPC-based aircraft autopilot system that contains an FPGA with a Nios processor core, a DSP processor, and memory is seen above. The bottom sensor.
Physical Implementation
Programmable logic and FPGA
Presentation transcript:

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L. STMicroelectronics, Agrate Brianza,Italy IEEE Journal of Solid-State Circuits, March 2003, Volume: 38, Issue: 3, pp Presenter: Ching-Chi Hu

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 2/ /5/1 Abstract  A system chip targeting image and voice processing and recognition application domains is implemented as a representative of the potential of using programmable logic in system design. It features an embedded reconfigurable processor built by joining a configurable and extensible processor core and an SRAM-based embedded field- programmable gate array (FPGA). Application- specific bus-mapped coprocessors and flexible input/output peripherals and interfaces can also be added and dynamically modified by reconfiguring the embedded FPGA.

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 3/ /5/1 Abstract (Cont.)  The architecture of the system is discussed as well as the design flows for pre- and post- silicon design and customization. The silicon area required by the system is 20 mm 2 in a 0.18 μm CMOS technology. The embedded FPGA accounts for about 40% of the system area.

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 4/ /5/1 Motive  The economics of system integration pushes logic suppliers toward ever more complex system-chip devices  Increasing design complexity and its associated risks, increase of non-recurrent engineering expenses, and shorter time-to- market and product life are causing manufacturers to look for faster turnaround and lower risk solutions for design and technology

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 5/ /5/1 Embedded programmable logic in ASICs  On the logic design side, the ASIC introduces many design challenges because performance in terms of density, speed, and power consumption is significantly less aggressive than in cell-based design  the use of configurable logic must be limited to what really needs to be programmable for design efficient  the integration of programmable hardware in SOC introduces changes in the design flow.  Different implementations can also be repeated to produce different configurations for the same chip.

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 6/ /5/1 Embedded programmable logic in ASICs (cont.)  the signoff of the system must be done for each configuration, since for every configuration the logic implemented in the e- FPGA must operate correctly and possible timing violations need to be avoided  an automated task to solve  the logic synthesis and optimization must be performed separately twice, for the hardwired logic and for the configuration logic, respectively.

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 7/ /5/1 Embedded programmable logic in ASICs (cont.)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 8/ /5/1 System architecture  32 bit Extensible Microprocessor  Five-stage pipeline  8 KB direct-mapped data/instruction caches  16/24 bit instruction format  64 bit processor interface (PIF)  48 KB SRAM  Embedded FPGA  Extension of the processor datapath supporting a set of additional special-purpose instructions  Bus-mapped coprocessor  Flexible I/O

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 9/ /5/1 System architecture (cont.)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 10/ /5/1 Microprocessor to FPGA interface  The design uses a single context embedded FPGA to extend the instruction set of a commercial microprocessor architecture which allows adding user-defined instructions  the number of user-defined instructions available at a given time is limited by the e-FPGA logic capacity and instruction logic complexity  the size of the set of additional instructions exceeds the logic capacity of the e-FPGA, it must be split  The flexibility advantage of this architecture implies a speed penalty for the part of logic mapped inside the e-FPGA

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 11/ /5/1 Microprocessor to FPGA interface (cont.)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 12/ /5/1 Microprocessor to FPGA interface (cont.)  The synchronization mechanism for two different opcode types

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 13/ /5/1 Block Description of the e-FPGA

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 14/ /5/1 Application example  A face recognition system

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 15/ /5/1 Application example (cont.)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 16/ /5/1 System to RTL design flow  soft hardware (reconfigurable logic) to be mapped on the e-FPGA  HDL RTL code of instruction extensions  bus-mapped coprocessors  special-purpose I/O peripherals  conventional fixed hardware (hardwired logic)  Microprocessor RTL code  AHB/APB bus  peripherals;  embedded software (C code)  application software  low-level drivers for the hardware platform

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 17/ /5/1 System to RTL design flow (cont.)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 18/ /5/1 RTL to Layout design flow

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 19/ /5/1 System implementation  Technology: 0.18μm CMOS 6-ML  SRAM: Main 48KB (64-bit wide)  Memory: I$:8KB D$:8KB (64-bit wide) Buffers: 4x256B (8-bit wide)  Chip size: 5.5x5.5 mm 2 (pad limited)  Core size: 20 mm 2  E-FPGA size: 8.2 mm 2 (15K useable equivalent ASIC gates)  Customizable I/O: 24 general- purpose input/output and 8 general- purpose bidirs  Power supply: V (external), 1.8V (core, internally generated/regulated)

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O 20/ /5/1 Conclusion  a novel system architecture based on a reconfigurable microprocessor has been presented and its implementation using embedded FPGA technology  The future work is investigated the impact of dynamic hardware configuration on energy efficiency of the computing system