Adhesive bonding Ville Liimatainen 05.03.2013. Contents Introduction – Adhesive bonding – Process overview – Main features Polymer adhesives Adhesive.

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

Adhesive and Their Properties
Non-Arc Welding Processes Resistive heating, chemical reactions, focused light and electrons, sound waves, and friction can also be used to join materials.
Thermo-compression Bonding
Advanced Manufacturing Choices
Wafer Level Packaging: A Foundry Perspective
PDMS processing & devices. 2 nd master PDMS 1 st master PDMS control channel active channel PDMS 3 rd substrate.
Die Attach Process.
CHAPTER 9: PHOTOLITHOGRAPHY.
Photolithography PEOPLE Program July 8, Computer chips are made using photolithography Instead of drawing with a sharp tip, it uses light to transfer.
Lithography – Basic Concept
Photolithography. Outline Motivation History  Photolithography Methods and Theories  Preparation and Priming  Spin-Coating  Photoresists  Soft-baking.
Adhesive Bonding with SU-8
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
One Way Circuits Limited Printed Circuit Board Manufacturer A Guide To Manufacturing Multilayer PCBs Use Left and Right Cursor keys to navigate ESC to.
1 WIREBONDING CHARACTERIZATION AND OPTIMIZATION ON THICK FILM SU-8 MEMS STRUCTURES AND ACTUATORS LIGA and Biophotonics Lab NTHU Institute of NanoEngineering.
Microelectronics & Device Fabrication. Vacuum Tube Devices Thermionic valve Two (di) Electrodes (ode)
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007 [sources: Sedra/Prentice Hall, Saint/McGrawHill,
Synthesis of Metal Oxide Nanoparticles by Flame Method Synthesis of Metal Oxide Nanoparticles by Flame Method.
Photopolymers and Photoresists for Electronic
ACTFEL Alternating Current Thin Film Electroluminescent Lamps.
The Deposition Process
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
Thin Film Deposition Prof. Dr. Ir. Djoko Hartanto MSc
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
Department of Electrical Engineering, National Taiwan University NOVEL WAFER BONDING TECHNOLOGY SURVEY Po-Wen Chen Department of Electrical Engineering.
Introduction to microfabrication, chapter 1
1 ME 381R Fall 2003 Micro-Nano Scale Thermal-Fluid Science and Technology Lecture 18: Introduction to MEMS Dr. Li Shi Department of Mechanical Engineering.
NANOSCALE LITHOGRAPHY MICHAEL JOHNSTON 4/13/2015.
Lecture 4 Photolithography.
Lithographic Processes
Micro-fabrication.
Fabrication of Active Matrix (STEM) Detectors
1 8 MEMS Packaging Ken Gilleo PhD ET-Trends LLC 2 Packaging Classification 1.Package discrete MEMS device (non-WLP) 2.Partial WLP; pre-packaging; e.g.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #2. Chip Fabrication  Silicon Ingots  Wafers  Chip Fabrication Steps (FEOL, BEOL)  Processing Categories 
Why do we put the micro in microelectronics?. Why Micro? 1.Lower Energy and Resources for Fabrication 2.Large Arrays 3.Minimally Invasive 4.Disposable.
PVD AND CVD PROCESS Muhammed Labeeb.
Prototyping Techniques: Soft Lithography
Nano/Micro Electro-Mechanical Systems (N/MEMS) Osama O. Awadelkarim Jefferson Science Fellow and Science Advisor U. S. Department of State & Professor.
1 Absolute Pressure Sensors Z. Celik-Butler, D. Butler and M. Chitteboyina Nanotechnology Research and Teaching Facility University of Texas at Arlington.
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
I.C. Technology Processing Course Trinity College Dublin.
II-Lithography Fall 2013 Prof. Marc Madou MSTB 120
Chapter Extra-2 Micro-fabrication process
Techniques for Synthesis of Nano-materials
1 CHM 585/490 Chapter 19 Semiconductors. 2 The market for imaging chemicals – photoresists, developers, strippers, and etchants – for the combined semiconductor.
Introduction to Prototyping Using PolyMUMPs
Introduction to Wafer fabrication Process
Microcontact Printing
By: Joaquin Gabriels November 24 th,  Overview of CMOS  CMOS Fabrication Process Overview  CMOS Fabrication Process  Problems with Current CMOS.
Introduction EE1411 Manufacturing Process. EE1412 What is a Semiconductor? Low resistivity => “conductor” High resistivity => “insulator” Intermediate.
ISAT 436 Micro-/Nanofabrication and Applications Photolithography David J. Lawrence Spring 2004.
Passivation of HPGe Detectors at LNL-INFN Speaker: Gianluigi Maggioni Materials & Detectors Laboratory (LNL-INFN) Scientific Manager: Prof. Gianantonio.
SU-8 is a polymer EPON SU-8
Electronic Protection
Lab-on-Chip Workshop March 25, 2016 Eric Johnston Soft Lithography Manager Quattrone Nanofabrication Facility.
 A PCB is printed circuit board, also known as a printed wiring board. It is used in electronics to build electronic devices. A PCB serves 2 purposes.
Wafer bonding (Chapter 17) & CMP (Chapter 16)
Equipment and technological processes for manufacturing GaAs MMICs LITHOGRAPHY ONE TALK 3 1.
Innovative Micromegas manufacturing with Microfabrication techniques
RESINS Thermosetting &Thermoplastic resins
Plasma Ruggedized Solutions Proprietary & Confidential
Wafer bonding (Chapter 17) & CMP (Chapter 16)
Integrated Circuits.
Lecture 4 Fundamentals of Multiscale Fabrication
MEMS Two-Phase Vapor Escape Heat Exchanger
(2) Incorporation of IC Technology Example 18: Integration of Air-Gap-Capacitor Pressure Sensor and Digital readout (I) Structure It consists of a top.
BONDING The construction of any complicated mechanical device requires not only the machining of individual components but also the assembly of components.
Photolithography.
Surface Engineering By Israa Faisal University of Al-Qadisiyah
Presentation transcript:

Adhesive bonding Ville Liimatainen

Contents Introduction – Adhesive bonding – Process overview – Main features Polymer adhesives Adhesive bonding technology Bond characterization Applications Conclusion

Adhesive bonding An intermediate adhesive layer is used to bond two surfaces Successfully used in many industries – Cars – Airplanes – Space shuttles Initially not significant in semiconductor wafer bonding research – Small bonding areas (chip-level bonding) An established wafer bonding method nowadays

Process overview 1.Polymer adhesive applied to one or both surfaces 2.Pressure applied to force the surfaces into close contact 3.Adhesive cured from liquid or viscoelastic state into solid state – UV, heat, pressure...

Main features Bonding conditions – From RT to ~ 400 ° C – Low to moderate bonding pressure Pros and cons + Low bonding temperature + Works with practically any materials + Comparably simple, robust, low-cost - No hermetic bonds - Limited temperature stability - Long-term stability in harsh environments? Applications – MEMS – Sensor packaging – 3D IC – Temporary bonds

Polymer adhesives Polymers are large molecules consisting of linked small molecules (monomers) Hardening – Solvent evaporation (drying adhesives) – Solidification upon cooling (hot melts) – Polymerization by chemical reactions (polymer precursors) Mixing of two components, heat, light, moisture... Deposition on wafer surfaces – Spin coating, spray coating, electrodeposition, stamping, screen printing, brushing, dispensing – CVD (thin films), lamination of films or sheets Selection for wafer bonding – Compatibility – Physical properties: mechanical and thermal stability, creep strength – Chemical resistance, chemical stability Epoxies UV epoxies (e.g. SU8) Positive and negative photoresists Benzocyclobutene (BCB) Flare Polymethylmethacrylate (PMMA) Fluoropolymers Polyimides Methylsilsesquioxane (MSSQ) Polyetheretherketone (PEEK) Thermosetting copolyesters (ATSP) Thermoplastic copolymers (PVDC) Parylene Liquid-crystal polymers (LCP) Waxes Epoxies UV epoxies (e.g. SU8) Positive and negative photoresists Benzocyclobutene (BCB) Flare Polymethylmethacrylate (PMMA) Fluoropolymers Polyimides Methylsilsesquioxane (MSSQ) Polyetheretherketone (PEEK) Thermosetting copolyesters (ATSP) Thermoplastic copolymers (PVDC) Parylene Liquid-crystal polymers (LCP) Waxes

Adhesive bonding technology Tools – Wafer bonders – Substrate lamination tools For temporary bonding, CMP, grinding – Die bonders For packaging Alignment techniques – Back-side alignment, SmartView, IR, transparent wafer, through-wafer holes etc. Wafer bonder schematic Substrate lamination tool schematic Schematic of the ITEC die bonder principle (NXP Semiconductors)

Adhesive bonding processes SU-8 and BCB widely established adhesives for MEMS and electronic component production Example process: Benzocyclobutene (BCB) 1.Clean the wafers (e.g. H 2 O 2 + H 2 SO 4 or oxygen plasma) 2.Apply adhesion promoter (spin-coat, spray-coat) 3.Deposit BCB by spin or spray coating (usually 1 – 50µm) 4.Softbake, pattern and dry etch the BCB or Expose and develop 5.Soft curing to remove solvents and volatile substances (< 300 ° C, ambient air) 6.Bonding in a vacuum chamber a.Establish vacuum b.Bring the surfaces in contact c.Apply bonding pressure and heat ( ° C, 30 to 240 minutes) d.Chamber purge, cool down, release pressure

Bond characterization Wafer bond quality – Defect rate – Bond strength – Stresses – Hermeticity – Stability Common techniques – Bond interface imaging – Bond strength measurement – Bond hermeticity measurement acoustic imaging IR imaging SEM imaging

Applications Fabrication of 3D ICs Integration of ICs with MEMS Fabrication of microcavities for packaging Thin film solar cells RF components BioMEMS 3D integrated circuits Thin film and device transfer for MEMS/IC integration Thin film solar cells Microcavities for packaging Hydrophobic valves

Conclusion Adhesive bonding is an established method for MEMS, ICs, their integration and packaging Advantages – Low bonding temperature < 200 ° C – Applicable to various wafer materials and structures – Wide selection of adhesives adjusted for MEMS and electronic components available – Compensation of surface non-uniformities and contamination – No electric voltage or current involved – Chemical resistance – Simple and low-cost process Drawbacks – No hermetic sealing (possible with a diffusion barrier) – Limited temperature stability – Limited long-term stability in harsh environments – Variance of the gap between the bonded surfaces – (Relatively) low bond strengths