1 Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu The Chinese University of Hong Kong Dianwei Hu and Dong Xiang Tsinghua.

Slides:



Advertisements
Similar presentations
Retiming Scan Circuit To Eliminate Timing Penalty
Advertisements

Advanced ITC Presentation A. Pogiel J. Rajski J. Tyszer.
Weighted Random and Transition Density Patterns for Scan-BIST Farhana Rashid* Vishwani D. Agrawal Auburn University ECE Department, Auburn, Alabama
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
1 A Random Access Scan Architecture to Reduce Hardware Overhead Anand S. Mudlapur Vishwani D. Agrawal Adit D. Singh Department of Electrical and Computer.
Supply Voltage Noise Aware ATPG for Transition Delay Faults Nisar Ahmed and M. Tehranipoor University of Connecticut Vinay Jayaram Texas Instruments, TX.
NCHUCS1 Scan Chain Reorder Sying-Jyan Wang Department of Computer Science National Chung-Hsing University.
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
On Diagnosis of Multiple Faults Using Compacted Responses Jing Ye 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute.
Congestion Driven Placement for VLSI Standard Cell Design Shawki Areibi and Zhen Yang School of Engineering, University of Guelph, Ontario, Canada December.
Aiman El-Maleh, Ali Alsuwaiyan King Fahd University of Petroleum & Minerals, Dept. of Computer Eng., Saudi Arabia Aiman El-Maleh, Ali Alsuwaiyan King Fahd.
An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum & Minerals.
Dynamic SCAN Clock control In BIST Circuits
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Diagnostic Test Generation and Fault Simulation Algorithms for Transition Faults Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama
Reduced Complexity Test Generation Algorithms for Transition Fault Diagnosis Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
4/28/05Vemula: ELEC72501 Enhanced Scan Based Flip-Flop for Delay Testing By Sudheer Vemula.
Practically Realizing Random Access Scan By Anand Mudlapur ECE Dept. Auburn University.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
October 8, th Asian Test Symposium 2007, Biejing, China XXXXX00XXX XX000101XXXXXXXXXXXXX0XXX1X0 101XXX1011XXXXXX0XXX XX000101XXXXXXXXXXXXX0XXX1XX.
Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count- Directed Clustering Aiman El-Maleh and Saqib Khurshid King Fahd University.
Practically Realizing Random Access Scan Anand S. Mudlapur Department of Electrical and Computer Engineering Auburn University, AL USA.
Test Wrapper Designs for the Detection of Signal Integrity Faults on Core External Interconnects of SOCs Qiang Xu and Yubin ZhangKrishnendu Chakrabarty.
Design Technology Center National Tsing Hua University A New Paradigm for Scan Chain Diagnosis Using Signal Processing Techniques Shi-Yu Huang ( 黃錫瑜 )
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving Zhiyuan He 1, Zebo Peng 1, Petru Eles 1 Paul Rosinger 2, Bashir M. Al-Hashimi.
BIST vs. ATPG.
DFT Technologies for High- Quality Low-Cost Manufacturing Tests Yuval Snir JTAG 2006 Yuval Snir JTAG 2006.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
On Timing- Independent False Path Identification Feng Yuan, Qiang Xu Cuhk Reliable Computing Lab, The Chinese University of Hong Kong ICCAD 2010.
1 Fitting ATE Channels with Scan Chains: a Comparison between a Test Data Compression Technique and Serial Loading of Scan Chains LIRMM CNRS / University.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
1 Customer-Aware Task Allocation and Scheduling for Multi-Mode MPSoCs Lin Huang, Rong Ye and Qiang Xu CHhk REliable computing laboratory (CURE) The Chinese.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
Why Low Power Testing? 台大電子所 李建模.
Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
Integrated Test Data Compression and Core Wrapper Design for Low-Cost System-on-a-Chip Testing Paul Theo Gonciari Bashir Al-Hashimi Electronic Systems.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building Yanfeng Wang, Qiang Zhou, Xianlong Hong, and Yici Cai Department of Computer Science and.
By Praveen Venkataramani
Jing Ye 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute of Computing Technology Chinese Academy of Sciences.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Final Exam Review. Homework Notes Pay attention to significant digits Should not have more significant digits in answer than in problem Example: HW1 #1.1.
Jing Ye 1,2, Xiaolin Zhang 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute of Computing Technology Chinese.
Output Grouping-Based Decomposition of Logic Functions Petr Fišer, Hana Kubátová Department of Computer Science and Engineering Czech Technical University.
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
Multiple-Vector Column-Matching BIST Design Method Petr Fišer, Hana Kubátová Department of Computer Science and Engineering Czech Technical University.
Test Generation for Designs with Multiple Clocks Xijiang LinSudhakar M. Reddy Mentor Graphics Corp SW Boeckman Rd. Wilsonville, OR ECE Department.
ELEC 7950 – VLSI Design and Test Seminar
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
On-Chip Power Network Optimization with Decoupling Capacitors and Controlled-ESRs Wanping Zhang1,2, Ling Zhang2, Amirali Shayan2, Wenjian Yu3, Xiang Hu2,
Testability in EOCHL (and beyond…)
Defect and High Level Fault Modeling in Digital Systems
Jinghong Liang,Tong Jing, Xianlong Hong Jinjun Xiong, Lei He
Performance Optimization Global Routing with RLC Crosstalk Constraints
Esam Ali Khan M.S. Thesis Defense
Sungho Kang Yonsei University
Aiman H. El-Maleh Sadiq M. Sait Syed Z. Shazli
Off-path Leakage Power Aware Routing for SRAM-based FPGAs
MS Thesis Defense Presentation by Mustafa Imran Ali COE Department
Mixed-Mode BIST Based on Column Matching
Test Data Compression for Scan-Based Testing
A Random Access Scan Architecture to Reduce Hardware Overhead
Presentation transcript:

1 Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu The Chinese University of Hong Kong Dianwei Hu and Dong Xiang Tsinghua University Beijing, China

2 Outline Background Pattern-Directed Virtual Partitioning Routing-Aware Virtual Partitioning Experimental Results Conclusion

3 Test Power Toggle rate in test mode may be significantly higher than that in functional mode  Excessive accumulated power -> permanent damage  Excessive instantaneous power -> test yield loss Excessive test power is a major concern!

4 Prior Work on Test Power Reduction Scan chain manipulation:  Scan chain partitioning (e.g., Whetsel ITC’02)  Scan chain reordering (e.g., Bonhomme ITC’02) Test vector manipulation:  Power-aware ATPG (e.g., Wang TCAD ’ 98)  Low-power X-filling (e.g., Butler ITC’04, Wen ITC ’ 05)  Test vector reordering (e.g., Dabholkar TCAD’98 ) Test scheduling Circuit modification

5 Circuit Partitioning for Test Power Reduction Tester Data SE TCK Glue Logic Circuit under Test wrapper Scan chain P1 wrapper Scan chain P2

6 Observations and Motivation Test patterns’ power consumptions vary significantly Only a few “care-bits” necessary for a test pattern to detect all the faults covered by it Applying high-power patterns at a partitioned subcircuit containing all their care-bits reduces test power without fault coverage loss

7 Virtual Circuit Partitioning High-power Patterns Glue Logic Circuit under Test Scan chain P1 Scan chain P2 Low-power Patterns

8 Problem Definition How to partition the circuit such that the “care-bits” of as many as possible high-power patterns belong to a single partition?

9 Design Flow Start (with given specified patterns ) Rank test patterns based on capture power Fault simulation Identify care-bits for the high-power patterns Iteratively partition the circuit Meet constraints End Yes No

10 Care-Bits Identification Let low-power patterns detect as many faults as possible Response care-bits: fault simulation Stimulus care-bits: limited implication Cost function, depends on:  Care-bits selected by previous patterns  Comparison between different response care- bits

11 Care-Bits Identification sa (0 ) 0(1 ) Response Carebits

12 Care-Bits Identification sa (0) 0(1) Stimuli Carebits

13 Care-Bits Identification sa (0) 0(1) Stimuli Carebits

14 Iterative Partitioning P1 F1 P2 F2 P3 F3F4 High power Low power Patterns Faults Fault simulation

15 Iterative Partitioning P2 F2 P3 F3F4 I1I1, I2 R1R2 I1 R1 Patterns Faults High power Low power P1 F1

16 Iterative Partitioning R4 I3, I4 I1, R1 I3, I4, R4 Patterns Faults P2 F2 P3 F3F4 P1 F1 High power Low power

17 Iterative Partitioning R1 I2 Patterns Faults P2 F2 P3 F3F4 P1 F1 High power Low power I1, R1 I3, I4, R4 I1, R1, I2

18 Iterative Partitioning R1 R3 I3, I4 I2 I1, I2 Patterns Faults P2 F2 P3 F3F4 P1 F1 High power Low power I3, I4, R4 I1, R1, I2

19 Routing-Aware Partitioning Partitioning significantly affects scan chain routing cost Solution: constraint-driven partitioning  Model the spreadness of the scan FFs  Divide the circuit layout into sub-regions  Routing either horizontally or vertically in a snake-like way

20 RA-Partitioning Design Flow Start (with given specified patterns) Rank test patterns based on capture power Fault simulation Identify care-bits for the high-power patterns Iteratively partition the circuit Meet constraints End Yes No Iteratively partition the circuit with routing consideration

21 Routing-Aware Partitioning – Cont. (150,180) (130,100) (80,100) (40,40) ( 0,220 ) ( 250,220 ) (0,0) (250,0) H V d b c a = =130 CUT

22 Routing-Aware Partitioning – Cont. (150,180) (130,100) (80,100) (40,40) (0,220) (250,220) (0,0) (250,0) H V d b c a 70 += CUT 10

23 Iterative Partitioning Routing-Aware Partitioning Experimental Result – s38417 (stuck-at)

24 Experimental Result – s38584 (broadside) Iterative Partitioning Routing-Aware Partitioning

25 Power comparison for stuck-at Average power with VP: 49.13% Average power with RA-VP: 57.67%

26 Wire length comparison for stuck-at Average wire length with VP: % Average wire length with RA-VP: %

27 Power comparison for broad-side Average power with VP: 63.59% Average power with RA-VP: 68.28%

28 Wire length comparison for broad-side Average wire length with VP: % Average wire length with RA-VP: %

29 Conclusion Excessive test power is a major concern today We propose routing-aware circuit virtual partitioning technique for test power reduction  without adding test wrappers  without fault coverage loss  with small scan chain routing cost