THE ECE 554 XILINX DESIGN PROCESS

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
The Design Process Outline Goal Reading Design Domain Design Flow
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Configurable System-on-Chip: Xilinx EDK
Chapter 7 Design Implementation (II)
Digital System Design Verilog ® HDL Maziar Goudarzi.
Foundation and XACTstepTM Software
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
ECE 554 Department of Electrical and Computer Engineering
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Xilinx Development Software Design Flow on Foundation M1.5
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
1 H ardware D escription L anguages Modeling Digital Systems.
9/8/20041 FPGA Concepts and Design Digital Engineering Laboratory Course Introduction & FPGA Concepts and Design ECE 554 Department of Electrical and Computer.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
09/04/971 Xilinx Cadence Alliance Series Technology through Teamwork.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
OVERVIEW OF OVERVIEW OF Spartan-3. DESIGNFLOW Translate Map Place & Route Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Programmable Logic Training Course HDL Editor
CPE 626 Advanced VLSI Design Lecture 6: VHDL Synthesis Aleksandar Milenkovic
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
ECE-C662 Lecture 2 Prawat Nagvajara
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Teaching Digital Logic courses with Altera Technology
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
What’s New in Xilinx Ready-to-use solutions. Key New Features of the Foundation Series 1.5/1.5i Release  New device support  Integrated design environment.
Ready to Use Programmable Logic Design Solutions.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Introduction to the FPGA and Labs
Introduction to Vivado
ASIC Design Methodology
Design Entry: Schematic Capture and VHDL
EEE2135 Digital Logic Design Chapter 1. Introduction
Dept. of Electrical and Computer Engineering
A tutorial guide to start with ISE
Topics Modeling with hardware description languages (HDLs).
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Topics The logic design process..
Topics Modeling with hardware description languages (HDLs).
Reconfigurable Computing
Week 5, Verilog & Full Adder
332:437 Lecture 7 Verilog Hardware Description Language Basics
Lecture 18 X: HDL & VHDL Quick Recap
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
FPGA Tools Course Answers
ECE 554 Department of Electrical and Computer Engineering
332:437 Lecture 7 Verilog Hardware Description Language Basics
Digital Fundamentals Tenth Edition Floyd Chapter 11.
VHDL Introduction.
Xilinx/Model Technology Powerful FPGA Verification Solution
332:437 Lecture 7 Verilog Hardware Description Language Basics
THE ECE 554 XILINX DESIGN PROCESS
Digital Designs – What does it take
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

THE ECE 554 XILINX DESIGN PROCESS Design process overview Design references Xilinx libraries Design tutorial What’s next 7/12/2019

Design Process Steps Definition of system requirements. Example: ISA (instruction set architecture) for CPU. Includes software and hardware interfaces including timing. May also include cost, speed, reliability and maintainability specifications. Definition of system architecture. Example: high-level HDL (hardware description language) representation - this is not required in ECE 554 but is done in the real world). Useful for system validation and verification and as a basis for lower level design execution and validation or verification. 7/12/2019

Design Process Steps(continued) Refinement of system architecture In manual design, descent in hierarchy, designing increasingly lower-level components In synthesized design, transformation of high-level HDL to “synthesizable” register transfer level (RTL) HDL Logic design or synthesis In manual or synthesized design, development of logic design in terms of library components Result is logic level schematic or netlist representation or combinations of both. Both manual design or synthesis typically involve optimization of cost, area, or delay. 7/12/2019

Design Process Steps (Continued) Implementation Conversion of the logic design to physical implementation Involves the processes of: Mapping of logic to physical elements, Placing of resulting physical elements, And routing of interconnections between the elements. In case of SRAM-based FPGAs, represented by the programming bitstream which generates the physical implementation in the form of CLBs, IOBs and the interconnections between them 7/12/2019

Design Process Steps (Continued) Validation (used at number of steps in the process) At architecture level - functional simulation of HDL At RTL level- functional simulation of RTL HDL At logic design or synthesis - functional simulation of gate-level circuit - not usually done in ECE 554 At implementation - timing simulation of schematic, netlist or HDL with implemention based timing information (functional simulation can also be useful here) At programmed FPGA level - in-circuit test of function and timing 7/12/2019

Xilinx HDL/Core Design Flow DESIGN ENTRY RTL HDL EDITING CORE GENERATION RTL HDL-CORE SIMULATION SYNTHESIS IMPLEMENTATION TIMING SIMULATION FPGA PROGRAMMING & IN-CIRCUIT TEST 7/12/2019

Xilinx HDL/Core Design Flow - HDL Editing Accessed within HDL Editor DESIGN WIZARD LANGUAGE ASSISTANT HDL Module Frameworks Language Construct Templates HDL EDITOR RTL HDL Files 7/12/2019

Xilinx HDL/core Design Flow - Core Generation Select core and specify input parameters CORE GENERATOR HDL instantiation module for core_name EDIF netlist for core_name Other core_name files 7/12/2019

Xilinx HDL/core Design Flow - HDL Functional Simulation HDL instantiation module for core_name Set Up and Map work library RTL HDL Files EDIF netlists for core_names Testbench HDL Files Compile HDL Files Test Inputs or Force Files MODELSIM Functional Simulate Waveforms or List Files 7/12/2019

Xilinx HDL Design Flow - Synthesis All HDL Files Edit FPGA Express Synthesis Constraints EDIF netlists for core_names Select Top Level Synthesis/Implement-ation Constraints Select Target Device FPGA EXPRESS Synthesize Gate/Primitive Netlist Files (EDIF or XNF) Synthesis Report Files 7/12/2019

Xilinx HDL/core Design Flow - Implementation Gate/Primitive Netlist Files (XNF or EDN) Netlist Translation XILINX DESIGN MANAGER Map Place & Route Model Extraction Timing Model Gen HDL or EDIF for Implemented Design Create Bitstream Standard Delay Format File BIT File 7/12/2019

Xilinx HDL/core Design Flow - Timing Simulation HDL or EDIF for Implemented Design Standard Delay Format File Set Up and Map work Directory Testbench HDL Files Compile HDL Files MODELSIM Test Inputs, Force Files Compiled HDL HDL Simulate Waveforms or List Files 7/12/2019

Xilinx HDL Design Flow - Programming and In-circuit Verification Bit File Input Byte GXSLOAD GXSPORT ECE 554 FPGA Board Other Inputs Outputs 7/12/2019

Design References -1 There are two Xilinx 4.2i releases 4.2i : uses Synopsys FPGA Express synthesis tool (we use this one) ISE 4.2i: uses Xilinx XST synthesis tool The manuals are a bit mixed – Do not use material related to XST Manuals (will be provided on website) FPGA complier II/FPGA express Verilog HDL reference manual - essential guide to writing Verilog for FPGA express - suggest you download and print a copy for your use 2 pages/page Synthesis and simulation design guide - lots of useful information on writing HDL code CORE generator guide - you will use cores lots, so can be useful. 7/12/2019

Design References - 2 Libraries guide - useful only if you want to instantiate parts Constraints guide – in particular, useful if you want to use timing constraints Foundation series 4.2i installation guide and release notes - good for finding bugs, but always out-of-date - use on-line answers database instead The following guides are occasionally useful, but far less frequently: Design manager/flow engine guide Development system reference guide Foundation series 4 user guide FPGA compiler II/FPGA express VHDL reference manual Global Glossary Databook, app. Notes, and answers database on-line at: http://support.xilinx.com/support/support.htm 7/12/2019

Simulation References Most useful: ModelSim SE user’s manual Occasionally referenced: ModelSim SE command reference 7/12/2019

The Xilinx Libraries Useful only if you have to instantiate (in your HDL) Xilinx primitives or macros (not all can be instantiated) from the Libraries guide. Note selection guide includes CLB counts and section at front on notation used to describe macros. 7/12/2019

Design Practices Use synchronous design. CLBs are actually reading functions from SRAM! Avoid clock gating. Avoid ripple counters. Avoid use of direct sets and resets except for initialization. Synchronize asynchronous signals as needed. Study timing issues handout. 7/12/2019

What’s Next Verilog HDL – introductory lecture next week will give an overview of Verilog, our HDL language of choice HDL/core design flow – design tutorial next week will employ the flow described for a Verilog HDL/core example 7/12/2019