Digital Designs – What does it take

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
VHDL Structural Architecture ENG241 Week #5 1. Fall 2012ENG241/Digital Design2 VHDL Design Styles Components and interconnects structural VHDL Design.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
CS 151 Digital Systems Design Lecture 37 Register Transfer Level
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
VLSI Design Lab Introduction
DIGITAL DESIGN WITH VHDL Exercise 1 1Muhammad Amir Yousaf.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
CSET 4650 Field Programmable Logic Devices
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Using Mathematica for modeling, simulation and property checking of hardware systems Ghiath AL SAMMANE VDS group : Verification & Modeling of Digital systems.
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
COE 405 Design and Modeling of Digital Systems
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
ECE-C662 Lecture 2 Prawat Nagvajara
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Digital System Design Verilog ® HDL Introduction to Synthesis: Concepts and Flow Maziar Goudarzi.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
EE121 John Wakerly Lecture #17
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
1 ASIC 120: Digital Systems and Standard-Cell ASIC Design Tutorial 2: Introduction to VHDL February 1, 2006.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Introduction to the FPGA and Labs
Introduction to design with VHDL
EET 1131 Unit 4 Programmable Logic Devices
ASIC Design Methodology
Combinational Logic Design
Design Entry: Schematic Capture and VHDL
ECE 4110 – Digital Logic Design
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Introduction to Programmable Logic
Topics Modeling with hardware description languages (HDLs).
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
Topics The logic design process..
Topics Modeling with hardware description languages (HDLs).
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
Reconfigurable Computing
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Week 5, Verilog & Full Adder
Lecture 18 X: HDL & VHDL Quick Recap
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
Digital Fundamentals Tenth Edition Floyd Chapter 11.
VHDL Introduction.
THE ECE 554 XILINX DESIGN PROCESS
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

Digital Designs – What does it take In order to create a Digital Design must you possess curtain skills and have some knowledge about Boolean Algebra Combinatorial logic and standard elements Sequential logic and standard elements The principles of Synchronous State Machines Modular design principles The ISE tools your planning to use The FPGA / CPLD your planning to use The HDL (VHDL) – code for Synthesize / Simulation VHDL introduction part 1

VHDL Very Hard Difficult Language V. Hardware Description Language Very high speed integrated circuit To the C programmers – forget what you learned (Well at least some of it ) VHDL introduction part 1

The Software Approach Machine Code Source Code High Level Language Fixed hardware in combination with flexiable software. 24 FE 56 19 CA BE 34 78 00 67 Machine Code Software executed in a sequential manner. Source Code High Level Language void Count_and_compare( ) { while (button==0); // Button been pressed Counter = Counter +1; if (Counter=5) { Led = 1; Counter = 0; } else Led = 0; while (button==1); // Button now released } Compiler VHDL introduction part 1

VHDL introduction part 1 Hardware Description for Synthesize The synthesize tool uses the description to create to wanted hardware. Note! How the comparator reused 1 2 RTL View Note! The order of statements doesn’t matter VHDL introduction part 1

VHDL introduction part 1 Hardware View Inside a LUT VHDL introduction part 1

VHDL introduction part 1 Inside a Field Programable Gate Array CLB PSM LUT VHDL introduction part 1

VHDL introduction part 1 Hardware Description for Simulation VHDL introduction part 1

VHDL introduction part 1 The order do matter (inside a process) VHDL introduction part 1

HDL-based design flow (1) For ASICs, verification and fitting phases are usually much longer. VHDL introduction part 1

HDL-based design flow (2) Requirements Simulate RTL Model Gate-level Model Synthesize Test Bench ASIC or FPGA Place & Route Timing Model VHDL introduction part 1

The Xilinx ISE 11 design flow The file Hierachy The Processes to chose among Synthesize will translate the design to Boolean equations for simulation and implementation Implement Design will perform the processes of Translation, Mapping and finally Place&Route Generate Programming File will create the bit-file for downloading to the FPGA / CPLD VHDL introduction part 1

VHDL introduction part 1 Developed in the mid-1980s under DoD sponsorship Mandated for federally-sponsored VLSI designs Used for design description, simulation, and synthesis Synthesis became practical in the early 90s and use of VHDL (and Verilog) has taken off since then Only a subset of the language can be synthesized VHDL introduction part 1

VHDL introduction part 1 The Complete VHDL definition Code for Synthesize Code for Simulation The make the picture complete – it all depends on which part of VHDL the ISE offers you . VHDL introduction part 1

VHDL introduction part 1 Code for Simulation VHDL introduction part 1

VHDL introduction part 1 Code for Synthesize B C F A VHDL introduction part 1

VHDL Entity and Architecture concept System is a collection of modules. Architecture: detailed description of the internal structure or behavior of a module. Entity: a “wrapper” for the architecture that exposes only its external interfaces, hiding the internal details. VHDL introduction part 1

VHDL introduction part 1 VHDL Hierarchy VHDL introduction part 1

VHDL program file structure Entity and Architecture definitions for different modules can be in different files. Compiler maintains “work” library and keeps track of definitions using Entity and Architecture names. VHDL introduction part 1

VHDL programming styles Structural Define explicit components and the connections between them. Textual equivalent of drawing a schematic Dataflow Most like Boolean -- assign expressions to signals Includes “when” and “select” (case) statements Behavioral Write an algorithm that describes the circuit’s output May not be synthesizable or may lead to a very large circuit Primarily used for simulation VHDL introduction part 1

VHDL introduction part 1 Now lets practice VHDL VHDL introduction part 1