Yiyu Shi*, Wei Yao*, Jinjun Xiong+ and Lei He*

Slides:



Advertisements
Similar presentations
April 2004NUCAD Northwestern University1 Minimal Period Retiming Under Process Variations Jia Wang and Hai Zhou Electrical & Computer Engineering Northwestern.
Advertisements

Non-Gaussian Statistical Timing Analysis Using Second Order Polynomial Fitting Lerong Cheng 1, Jinjun Xiong 2, and Lei He 1 1 EE Department, UCLA *2 IBM.
Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
Monte Carlo Methods and Statistical Physics
EE2010 Fundamentals of Electric Circuits Lecture - 6 Voltage Sources, Current Sources, Mesh Analysis.
Computer Science & Engineering Department University of California, San Diego SPICE Diego A Transistor Level Full System Simulator Chung-Kuan Cheng May.
1 EL736 Communications Networks II: Design and Algorithms Class8: Networks with Shortest-Path Routing Yong Liu 10/31/2007.
Probabilistic Re-Analysis Using Monte Carlo Simulation
Minimal Skew Clock Synthesis Considering Time-Variant Temperature Gradient Hao Yu, Yu Hu, Chun-Chen Liu and Lei He EE Department, UCLA Presented by Yu.
The continuous scaling trends of smaller devices, higher operating frequencies, lower power supply voltages, and more functionalities for integrated circuits.
DATA MINING LECTURE 12 Link Analysis Ranking Random walks.
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources Lerong Cheng 1, Jinjun Xiong 2, and Prof. Lei He 1 1 EE Department, UCLA.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Jerry Chou and Bill Lin University of California, San Diego
SAMSON: A Generalized Second-order Arnoldi Method for Reducing Multiple Source Linear Network with Susceptance Yiyu Shi, Hao Yu and Lei He EE Department,
Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel,
A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield A. B. Kahng, B. Liu, X. Xu, J. Hu* and G. Venkataraman*
Circuit Simulation Based Obstacle-Aware Steiner Routing Yiyu Shi, Paul Mesa, Hao Yu and Lei He EE Department, UCLA Partially supported by NSF Career Award.
Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations Yiyu Shi*, Jinjun Xiong +, Chunchen Liu* and Lei He* *Electrical.
More Realistic Power Grid Verification Based on Hierarchical Current and Power constraints 2 Chung-Kuan Cheng, 2 Peng Du, 2 Andrew B. Kahng, 1 Grantham.
Worst-Case Timing Jitter and Amplitude Noise in Differential Signaling Wei Yao, Yiyu Shi, Lei He, Sudhakar Pamarti, and Yu Hu Electrical Engineering Dept.,
1 Assessment of Imprecise Reliability Using Efficient Probabilistic Reanalysis Farizal Efstratios Nikolaidis SAE 2007 World Congress.
Lecture 11 Implementation Issues – Part 2. Monte Carlo Simulation An alternative approach to valuing embedded options is simulation Underlying model “simulates”
USING SAT-BASED CRAIG INTERPOLATION TO ENLARGE CLOCK GATING FUNCTIONS Ting-Hao Lin, Chung-Yang (Ric) Huang Graduate Institute of Electrical Engineering,
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
Component Reliability Analysis
Escape Routing For Dense Pin Clusters In Integrated Circuits Mustafa Ozdal, Design Automation Conference, 2007 Mustafa Ozdal, IEEE Trans. on CAD, 2009.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Network Aware Resource Allocation in Distributed Clouds.
A Polynomial Time Approximation Scheme For Timing Constrained Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, Charles J. Alpert** *Dept of Electrical.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation High-Performance.
1 ECE-517 Reinforcement Learning in Artificial Intelligence Lecture 7: Finite Horizon MDPs, Dynamic Programming Dr. Itamar Arel College of Engineering.
EE 201C Modeling of VLSI Circuits and Systems
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
Presenter: Jonathan Murphy On Adaptive Routing in Wavelength-Routed Networks Authors: Ching-Fang Hsu Te-Lung Liu Nen-Fu Huang.
Scalable Symbolic Model Order Reduction Yiyu Shi*, Lei He* and C. J. Richard Shi + *Electrical Engineering Department, UCLA + Electrical Engineering Department,
The Application of The Improved Hybrid Ant Colony Algorithm in Vehicle Routing Optimization Problem International Conference on Future Computer and Communication,
Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction Yiyu Shi*, Jinjun Xiong +, Howard Chen + and Lei He* *Electrical.
TSV-Constrained Micro- Channel Infrastructure Design for Cooling Stacked 3D-ICs Bing Shi and Ankur Srivastava, University of Maryland, College Park, MD,
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
Xuanxing Xiong and Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago, Illinois, United States November, 2011 Vectorless.
QuickYield: An Efficient Global-Search Based Parametric Yield Estimation with Performance Constraints Fang Gong 1, Hao Yu 2, Yiyu Shi 1, Daesoo Kim 1,
1 Presented by Sarbagya Buddhacharya. 2 Increasing bandwidth demand in telecommunication networks is satisfied by WDM networks. Dimensioning of WDM networks.
On Improving the Efficiency and Manageability of NotVia Ang Li †, Pierre Francois ‡, and Xiaowei Yang † † UCIrvine ‡ Université catholique de Louvain CoNext.
EE 201C Modeling of VLSI Circuits and Systems
Optimal Relay Placement for Indoor Sensor Networks Cuiyao Xue †, Yanmin Zhu †, Lei Ni †, Minglu Li †, Bo Li ‡ † Shanghai Jiao Tong University ‡ HK University.
1 Chapter 5 Branch-and-bound Framework and Its Applications.
Tinoosh Mohsenin 2, Houshmand Shirani-mehr 1, Bevan Baas 1 1 University of California, Davis 2 University of Maryland Baltimore County Low Power LDPC Decoder.
Proximity Optimization for Adaptive Circuit Design Ang Lu, Hao He, and Jiang Hu.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Computer Simulation Henry C. Co Technology and Operations Management,
Discrete ABC Based on Similarity for GCP
On-Chip Power Network Optimization with Decoupling Capacitors and Controlled-ESRs Wanping Zhang1,2, Ling Zhang2, Amirali Shayan2, Wenjian Yu3, Xiang Hu2,
Defining Statistical Sensitivity for Timing Optimization of Logic Circuits with Large-Scale Process and Environmental Variations Xin Li, Jiayong Le, Mustafa.
Chapter 3 Component Reliability Analysis of Structures.
Haihua Su, Sani R. Nassif IBM ARL
Jinghong Liang,Tong Jing, Xianlong Hong Jinjun Xiong, Lei He
Bayesian Models in Machine Learning
SAT-Based Area Recovery in Technology Mapping
Performance Optimization Global Routing with RLC Crosstalk Constraints
Performance and RLC Crosstalk Driven Global Routing
Yiyu Shi*, Jinjun Xiong+, Howard Chen+ and Lei He*
Chapter 4b Statistical Static Timing Analysis: SSTA
EE 201C Modeling of VLSI Circuits and Systems
Department of Electrical Engineering
Yiyu Shi*, Jinjun Xiong+, Chunchen Liu* and Lei He*
Yiyu Shi*, Jinjun Xiong+, Chunchen Liu* and Lei He*
Canonical Computation without Canonical Data Structure
Chapter 4C Statistical Static Timing Analysis: SSTA
Presentation transcript:

Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis Yiyu Shi*, Wei Yao*, Jinjun Xiong+ and Lei He* *Electrical Engineering Dept., University of California, Los Angeles +IBM T. J. Watson Research Center, Yorktown Heights, NY This paper is supported in part by an NSF CAREER award CCR0306682 and a UC MICRO grant sponsored by Actel and Fujitsu.

Outline PDN analysis and random walk algorithm Incremental random walk Walk Ordering Optimization Experimental Results Conclusions

PDN Design Challenges Designing reliable supply network is essential VDD decreases Wire width decreases Load current increases Efficient power grid analysis tool is needed To handle large circuit size with millions of nodes On-demand analysis is necessary Only calculate the response at the nodes of interest Random walk based solver is a natural solution To handle various design changes in the design loop Incremental analysis is necessary Update analysis results instead of calculating from scratch

Random Walk Based Solver The voltage at an internal node x can be solved from It can be rewritten as At pad node, we have x 3 2 1 4 g1 g2 g3 g4 Ix ∑ 1

Random Walk Based Solver Alternatively, the above equations can be viewed as 3 1 x px,1 px,3 px,2 px,4 2 4 M walks from i-th node Take average This yields xi

Outline PDN analysis and random walk algorithm Incremental random walk Walk Ordering Optimization Experimental Results Conclusions

Incremental Analysis of Power Network Incremental analysis exists in literature for full-solvers Fictious Domain Method [Zhao:ICCAD’07] Large Change Sensitivities However, they both require a full solve for every node of the original circuits Cannot be applied to the on-demand solutions obtained from random walk based solver. Q: How to perform incremental analysis for random walk based solver? 7

Basic Idea for Incremental Random Walk When design changes 25 20 (-5) 0.1 0.1 0.2 0.1 0.1 20 (-5) 0.1 25 100 40 (+15) 25 100 0.1 0.1 25 20 (-5) Increase or decrease the number of visits from the node of change Propagate the change throughout the network.

Incremental Random Walk Suppose the probability of walking to node i from an adjacent node j is After design changes it becomes Then, the number of visits of node i needs to be changed to is positive => Extra random walks are needed is negative => Remove existing random walks

Negative Random Walk It is easy to start extra random walks from a node But to remove existing walks is difficult Bookkeeping of all walks is infeasible due to the huge memory requirement Instead of removing ΔN number of random walks starting from a node directly, we perform ΔN number of extra random walks from that node. Whenever a node is visited, the number of visits at that node is decreased by one opposed to the normal random walk which should increase the number of visits by one. It can be proved that the statistical effect of such a strategy is equal to the removal of ΔN random walks from that node.

Outline PDN analysis and random walk algorithm Incremental random walk Walk Ordering Optimization Experimental Results Conclusions

Merging of Positive and Negative Walks During positive (negative) random walk, when arriving at a node that requires additional negative (positive) random walks, The walk terminates. The number of required additional walks at current node is decreased by one. Order of the incremental random walks matters Assume ΔN positive walks from A and ΔN negative walks from B A first, then B => ~2ΔN walks are needed B first, then A => only ~ΔN walks are needed Intuitively, when the walks from a node has a higher probability of hitting the nodes that require opposite walks, that node should have an earlier start. ΔN -ΔN

Runtime for Different Ordering: an Example Runtime distribution on a circuit with 1027 nodes 500 Monte Carlo runs with different node ordering 6X runtime difference can be observed with different ordering scheme

Walk Ordering Optimization We order the nodes according to P(A→i) is the probability of a walk staring from node A to hit node i can can be computed as where Φc is a set of all paths from node A to i. In practice, we only need to consider K paths with largest probability. Can be solved by K shortest paths algorithm. where

Outline PDN analysis and random walk algorithm Incremental random walk Walk Ordering Optimization Experimental Results Conclusions

Impact of Ordering Algorithm PDN design with 102,471 nodes and 215 ports Consider topology changes and magnitude changes Our ordering algorithm can reduce the runtime close to the minimum time in 10000 Monte Carlo simulations. 8X speedup compared with the worst ordering case.

Linear Complexity for On-demand Analysis Random walk from scratch has a warm-up cost Becomes linear after the observation node is more than 40% of the total nodes However, in reality on-demand analysis hardly falls in this region Incremental random walk algorithm has a linear runtime complexity w.r.t. number of observation nodes Very suitable for on-demand incremental analysis Up to 100X speedup over the random walk from scratch

Iterative PDN Sizing Design information Runtime and accuracy comparison 18X speedup compared with random walk from scratch with similar accuracy.

Iterative Package Ball Assignment with Substrate Rerouting To reduce crosstalk noise Iteratively optimize ball assignment Different ball assignment leads to different substrate rerouting Topology changes in RLC network Incremental random walk can be used to speedup the iterative design process 13X speedup for total analysis time during iterative design Compared to random walk from scratch

Conclusion We develop an incremental random walk algorithm, which is capable of both incremental and on-demand analysis. We propose an efficient algorithm to optimize the walk ordering problem Achieve 8× runtime reduction compared to the worst order Compared with random walk from scratch, runtime is reduced by up to 18× for on-chip iterative PDN sizing, and by up to 13× for iterative package ball assignment with substrate rerouting. On-demand analysis has a runtime linear with respect to the number of observed nodes for incremental random walk. 2× difference compared to non-incremental random walk.