VLSI Testing Lecture 10: Memory Test

Slides:



Advertisements
Similar presentations
Fault Coverage Analysis of RAM Test Algorithms
Advertisements

MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
1 n Memory market and memory complexity n Notation n Faults and failures n MATS+ March Test n Memory fault models n March test algorithms n Inductive fault.
1 Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan Vishwani D. Agrawal Department of Electrical and Computer.
PPT - FEUP / LEEC May Slide 1 of 50 Memory Testing - (according to Chapter 9 of M. Bushnell and V. Agrawal’s Essentials of Electronic Testing) Memory.
FUNCTIONAL RAM TESTING
Copyright 2005 Agrawal & BushnellHyderabad, July 27-29, 2006 (Day 3)1  Memory organization  Memory test complexity  Faults and fault models  MATS+
ECE 301 – Digital Electronics Memory (Lecture #21)
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4b1 Design for Testability Theory and Practice Lecture 4b: Fault Simulation n Problem and motivation.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 61 Design for Testability Theory and Practice Lecture 6: Combinational ATPG n ATPG problem n Example.
9/13/05ELEC / Lecture 61 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 15alt1  Definitions of NPSFs  NPSF test algorithms  Parametric tests  Summary  References Lecture.
Spring 08, Mar 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Fault Simulation Vishwani D. Agrawal James J.
Lecture 27 Memory and Delay-Fault Built-In Self-Testing
ELEC 7250 – VLSI Testing (Spring 2005) Place and Time: Broun 235, Tuesday/Thursday, 11:00AM—12:15PM Catalog data: ELEC VLSI Testing (3) Lec. 3. Pr.,
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 161  Notation  Neighborhood pattern sensitive fault algorithms  Cache DRAM and ROM tests  Memory.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 151  Memory market and memory complexity  Notation  Faults and failures  MATS+ March Test  Memory.
Modern VLSI Design 2e: Chapter 6 Copyright  1998 Prentice Hall PTR Topics n Memories: –ROM; –SRAM; –DRAM. n PLAs.
Lecture 16 Pattern Sensitive and Electrical Memory Test
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
Copyright 2005, Agrawal & BushnellLecture 8: Memory Test1  Memory organization  Memory test complexity  Faults and fault models  MATS+ march test 
Copyright 2001, Agrawal & BushnellLecture 1 Introduction1 VLSI Testing Lecture 1: Introduction Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
Copyright 2001, Agrawal & BushnellLecture 1 Introduction1 VLSI Testing Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Memory testing.
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
Copyright Agrawal, 2007ELEC6270 Spring 09, Lecture 71 ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Power Analysis: High-Level Vishwani.
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
Copyright 2001, Agrawal & BushnellLecture 6:Fault Simulation1 VLSI Testing Lecture 6: Fault Simulation Dr. Vishwani D. Agrawal James J. Danaher Professor.
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
Copyright 2012, AgrawalLecture 12: Alternate Test1 VLSI Testing Lecture 12: Alternate Test Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
VLSI Testing Lecture 11: Analog Test
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
VLSI Testing Lecture 5: Logic Simulation
VLSI Testing Lecture 10: Memory Test
Vishwani D. Agrawal Department of ECE, Auburn University
VLSI Testing Lecture 6: Fault Simulation
VLSI Testing Lecture 14: Built-In Self-Test
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
VLSI Testing Lecture 6: Fault Simulation
VLSI Testing Lecture 7: Combinational ATPG
VLSI Testing Lecture 15: System Diagnosis
ELEC Digital Logic Circuits Fall 2014 Logic Testing (Chapter 12)
VLSI Testing Lecture 12: Alternate Test
VLSI Testing Lecture 2: Yield & Quality
ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Interconnects and Crosstalk
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
Testing for Faults, Looking for Defects
ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
VLSI Testing Lecture 8: Sequential ATPG
Pre-Computed Asynchronous Scan Invited Talk
Fault Models, Fault Simulation and Test Generation
VLSI Testing Lecture 7: Combinational ATPG
Testing Analog & Digital Products Lecture 8: Memory Test
VLSI Testing Lecture 9: Delay Test
ELEC 7250 – VLSI Testing (Spring 2006)
VLSI Testing Lecture 4: Testability Analysis
VLSI Testing Lecture 3: Fault Modeling
ELEC Digital Logic Circuits Fall 2015 Logic Testing (Chapter 12)
VLSI Testing Lecture 13: DFT and Scan
Lecture 16 Pattern Sensitive and Electrical Memory Test
ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
Presentation transcript:

VLSI Testing Lecture 10: Memory Test Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering Auburn University, Alabama 36849, USA vagrawal@eng.auburn.edu http://www.eng.auburn.edu/~vagrawal IIT Delhi, Aug 25, 2013, 3:30-4:30PM Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Contents Memory organization Memory test complexity Faults and fault models MATS+ march test Address Decoder faults Summary References Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

RAM Organization Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Test Time in Seconds (Memory Cycle Time 60ns) Size Number of Test Algorithm Operations n bits 1 Mb 4 Mb 16 Mb 64 Mb 256 Mb 1 Gb 2 Gb n 0.06 0.25 1.01 4.03 16.11 64.43 128.9 n × log2n 1.26 5.54 24.16 104.7 451.0 1932.8 3994.4 n3/2 64.5 515.4 1.2 hr 9.2 hr 73.3 hr 586.4 hr 1658.6 hr n2 18.3 hr 293.2 hr 4691.3 hr 75060.0 hr 1200959.9 hr 19215358.4 hr 76861433.7 hr Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

SRAM Fault Modeling Examples SA0 SAF AF+SAF SA0 TF <↓/0> TF <↑/1> SCF <0;0> SCF <1;1> SA0 Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

DRAM Fault Modeling SA1+SCF SA1 AND Bridging Fault (ABF) SA0 ABF ABF Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

SRAM Only Fault Models Faults found only in SRAM Open-circuited pull-up device Excessive bit line coupling capacitance Model DRF CF Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

DRAM Only Fault Models Faults only in DRAM Model Data retention fault (sleeping sickness) Refresh line stuck-at fault Bit-line voltage imbalance fault Coupling between word and bit line Single-ended bit-line voltage shift Precharge and decoder clock overlap Model DRF SAF PSF CF AF Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Reduced Functional Faults Stuck-at fault Transition fault Coupling fault Neighborhood Pattern Sensitive fault* SAF TF CF NPSF * M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Springer, 2000, Chapter 9. Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Stuck-at Faults Test Condition: For each cell, read a 0 and a 1. < /0> (< /1>) A A Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Transition Faults Cell fails to make a 0 → 1 or 1 → 0 transition. Test Condition: Each cell must have an ↑ transition and a ↓ transition, and be read each time before making any further transitions. <↑/0>, <↓/1> <↑/0> transition fault Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Coupling Faults Coupling Fault (CF): Transition in bit j (aggressor) causes unwanted change in bit i (victim) 2-Coupling Fault: Involves 2 cells, special case of k-Coupling Fault Must restrict k cells for practicality Inversion (CFin) and Idempotent (CFid) Coupling Faults – special cases of 2-Coupling Faults Bridging and State Coupling Faults involve any number of cells Dynamic Coupling Fault (CFdyn) – Read or write on j forces i to 0 or 1 Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

State Transition Diagram of Two Good Cells, i and j Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

State Transition Diagram for CFin < ↑ ; ↕ > Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

State Coupling Faults (SCF) Aggressor cell or line j is in a given state y and that forces victim cell or line i into state x < 0;0 >, < 0;1 >, < 1;0 >, < 1;1 > Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

March Test Elements M0: { March element (w0) } for cell := 0 to n - 1 (or any other order) do write 0 to A [cell]; M1: { March element (r0, w1) } for cell := 0 to n - 1 do read A [cell]; { Expected value = 0} write 1 to A [cell]; M2: { March element (r1, w0) } for cell := n – 1 down to 0 do read A [cell]; { Expected value = 1 } Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

{ (w0); (r0, w1, r1); (r1, w0, r0); (r0) } March Tests Algorithm MATS MATS+ MATS++ MARCH X MARCH C- MARCH A MARCH Y MARCH B Description { (w0); (r0, w1); (r1) } { (w0); (r0, w1); (r1, w0) } { (w0); (r0, w1); (r1, w0, r0) } { (w0); (r0, w1); (r1, w0); (r0) } { (w0); (r0, w1); (r1, w0); (r0, w1); (r1, w0); (r0) } { (w0); (r0, w1, w0, w1); (r1, w0, w1); (r1, w0, w1, w0); (r0, w1, w0) } { (w0); (r0, w1, r1); (r1, w0, r0); (r0) } { (w0); (r0, w1, r1, w0, r0, w1); (r1, w0, w1); (r1, w0, w1, w0); (r0, w1, w0) } Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Address Decoder Faults (ADFs) Address decoding error assumptions: Decoder does not become sequential Same behavior during both read and write Multiple ADFs must be tested for Decoders can have CMOS stuck-open faults Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Theorem A March test satisfying conditions 1 & 2 detects all address decoder faults. ... Means any # of read or write operations Before condition 1, must have wx element x can be 0 or 1, but must be consistent in test Condition 1 2 March element (rx, …, w x ) (r x , …, wx) Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

March Test Fault Coverage Algorithm MATS MATS+ MATS++ MARCH X MARCH C- MARCH A MARCH Y MARCH B SAF All ADF Some All TF All CF in All CF id All CF dyn All SCF All Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

March Test Complexity Algorithm Complexity MATS 4n MATS+ 5n MATS++ 6n MARCH X MARCH C- MARCH A MARCH Y MARCH B Complexity 4n 5n 6n 10n 15n 8n 17n Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

MATS+ Example Cell (2,1) SA0 Fault MATS+: { M0: (w0); M1: (r0, w1); M2: (r1, w0) } Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

MATS+ Example Cell (2, 1) SA1 Fault MATS+: { M0: (w0); M1: (r0, w1); M2: (r1, w0) } Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

MATS+ Example Multiple AF: Addressed Cell Not Accessed; Data Written to Wrong Cell Cell (2,1) is not addressable Address (2,1) maps onto (3,1), and vice versa Cannot write (2,1), read (2,1) gives random data MATS+: { M0: (w0); M1: (r0, w1); M2: (r1), w0 } Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

Memory Test Summary Multiple fault models are essential Combination of tests is essential: March test – SRAM and DRAM Other tests (see references on following slide): NPSF – DRAM DC parametric – SRAM and DRAM AC parametric – SRAM and DRAM Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test

References on Memory Test R. D. Adams, High Performance Memory Testing, Boston: Springer, 2002. M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Boston: Springer, 2000. K. Chakraborty and P. Mazumder, Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories, Upper Saddle River, New Jersey: Prentice Hall PTR, 2002. K. Chakraborty and P. Mazumder, Testing and Testable Design of High-Density Random-Access Memories, Boston: Springer, 1996. B. Prince, High Performance Memories, Revised Edition, Wiley, 1999. A. K. Sharma, Semiconductor Memories: Testing Technology, and Reliability, Piscataway, New Jersey: IEEE Press, 1997. A. J. van de Goor, Testing Semiconductor Memories, Chichester, UK: Wiley Interscience, 1991, reprinted by ComTex, Gouda, The Netherlands (http://ce.et.tudelft.nl/vdgoor/). Copyright 2005, Agrawal & Bushnell Lecture 10: Memory Test