ECE 4110–5110 Digital System Design

Slides:



Advertisements
Similar presentations
Module 5 – Sequential Logic Design with VHDL
Advertisements

Lecture #24 Page 1 EE 367 – Logic Design Lecture #24 Agenda 1.State Machines Review Announcements 1.n/a.
ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Encoders.
EE 261 – Introduction to Logic Circuits
VHDL Refresher ECE 437 April 13, 2015 Motivation ECE 337 is a prerequisite But… –You may have taken 337 a few semesters previous –Breaks causes memory.
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
EELE 367 – Logic Design Module 4 – Combinational Logic Design with VHDL Agenda 1.Decoders/Encoders 2.Multiplexers/Demultiplexers 3.Tri-State Buffers 4.Comparators.
VHDL Structural Architecture ENG241 Week #5 1. Fall 2012ENG241/Digital Design2 VHDL Design Styles Components and interconnects structural VHDL Design.
EE 261 – Introduction to Logic Circuits Module #5 Page 1 EE 261 – Introduction to Logic Circuits Module #5 - VHDL Topics A.Hardware Description Languages.
08/07/041 CSE-221 Digital Logic Design (DLD) Lecture-8:
Combinational Logic Discussion D2.5. Combinational Logic Combinational Logic inputsoutputs Outputs depend only on the current inputs.
ECE 331 – Digital System Design
EE 367 – Logic Design Lecture #17
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
Lecture #6 Page 1 Lecture #6 Agenda 1.VHDL - Architecture 2.VHDL - Packages Announcements 1.HW #3 assigned ECE 4110– Sequential Logic Design.
Part 2: DESIGN CIRCUIT. LOGIC CIRCUIT DESIGN x y z F F = x + y’z x y z F Truth Table Boolean Function.
Reouven Elbaz – February 10 th, 2009 Office room: DC3576 ECE223.
AND Gate: A Logic circuit whose output is logic ‘1’ if and only if all of its inputs are logic ‘1’.
Lecture #23 Page 1 ECE 4110– Sequential Logic Design Lecture #23 Agenda 1.Latches and Flip-Flops Review Announcements 1.HW #11assigned.
Digital Electronics.
Logic Gates How Boolean logic is implemented. Transistors used as switches to implement Boolean logic: ANDOR Logic with Transistors.
Tutorial 1 Combinational Logic Synthesis. Introduction to VHDL VHDL = Very high speed Hardware Description Language VHDL and Verilog are the industry.
ENG241 Digital Design Week #4 Combinational Logic Design.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
Lecture #9 Page 1 Lecture #9 Agenda 1.VHDL : Structural Design Announcements 1.n/a ECE 4110– Digital Logic Design.
Lecture #18 Page 1 ECE 4110– Sequential Logic Design Lecture #18 Agenda 1.MSI Demultiplexers 2.MSI Tri-State Buffers 3.MSI Comparators Announcements 1.HW.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
Lecture #10 Page 1 Lecture #10 Agenda 1.VHDL : Concurrent Signal Assignments 2.Decoders using Structural VHDL Announcements 1.HW #4 due 2.HW #5 assigned.
Lecture #17 Page 1 ECE 4110–5110 Digital System Design Lecture #17 Agenda 1.MSI Multiplexers 2.MSI Encoders Announcements Test 1 closed book, Wednesday.
Lecture #12 Page 1 ECE 4110– Digital Logic Design Lecture #12 Agenda 1.VHDL : Behavioral Design (Processes) Announcements 1.n/a.
Explain Half Adder and Full Adder with Truth Table.
Lecture #18 Page 1 ECE 4110–5110 Digital System Design Lecture #18 Agenda 1.MSI Demultiplexers 2.MSI Tri-State Buffers 3.MSI Comparators Announcements.
Lecture #11 Page 1 Lecture #11 Agenda 1.Decoders using Structural VHDL 2.VHDL : Generics and Constants Announcements 1.n/a ECE 4110– Digital Logic Design.
ECE 4110–5110 Digital System Design
Logic Gates.
Systems Architecture Lab: Introduction to VHDL
Describing Combinational Logic Using Processes
ENG2410 Digital Design “Combinational Logic Design”
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
ECE 4110–5110 Digital System Design
ENG6530 Reconfigurable Computing Systems
Combinational Circuits Using VHDL
KS4 Electricity – Electronic systems
KS4 Electricity – Electronic systems
ECE 4110–5110 Digital System Design
ECE 2110: Introduction to Digital Systems Chapter 6 Combinational Logic Design Practices Encoders.
IAS 0600 Digital Systems Design
How Boolean logic is implemented
ECE 331 – Digital System Design
Lecture 18 PicoBlaze I/O Interface
Lecture Part A Combinational Logic Design & Flip Flop
Instructions to get MAX PLUS running
VHDL Structural Architecture
Concurrent vs Sequential
Multiplexers Anindya IE CSE.
Design Example “Date of Birth Problem”
KS4 Electricity – Electronic systems
Today You are Learning simple logic diagrams using the operations AND, OR and NOT truth tables combining Boolean operators using AND, OR and NOT.
ECE 331 – Digital System Design
Combinational Circuits
Modeling of Circuits with a Regular Structure
Electronics for Physicists
Advanced Computer Architecture Lecture 1
SYEN 3330 Digital Systems Chapter 2 – Part 1 SYEN 3330 Digital Systems.
Presentation transcript:

ECE 4110–5110 Digital System Design Lecture #10 Agenda Decoders using Structural VHDL Announcements HW #5 next Lecture #11 Page 1

Decoders using Structural VHDL Binary Decoders (or simply Decoders) - a binary decoder has n inputs and 2n outputs, where - one output is asserted for a given input combination ex) truth table of decoder Input Output 00 0001 01 0010 10 0100 11 1000 - these are key circuits for Address Decoders Other Decoders? (Display decoder, custom decoder, etc..) Lecture #10 Page 2

Decoders using Structural VHDL Decoder Example - Let's design a 2-to-4 Decoder using Structural VHDL - We know we need to describe the following structure: - The output stage of a decoder can be constructed using AND gates - Inverters are needed to give the appropriate code to each AND gate We know what we'll need: 2n AND gates = 4 AND gates n Inverters = 2 Inverters Showing more inverters than necessary to illustrate concept Lecture #11 Page 3

Decoders using Structural VHDL Decoders with ENABLES - An Enable line can be fed into the AND gate - The AND gate now needs (n+1) inputs - Using positive logic: EN = 0, Output = 0 EN =1, Output depends on input code EN ? Lecture #10 Page 4

Decoders using Structural VHDL Decoder Example (without EN) - Let's design the inverter using concurrent signal assignments…. entity inv is port (In1 : in STD_LOGIC; Out1 : out STD_LOGIC); end entity inv; architecture inv_arch of inv is begin Out1 <= not In1; end architecture inv_arch; Lecture #11 Page 5

Decoders using Structural VHDL Decoder Example - Let's design the AND gate using concurrent signal assignments…. entity and2 is port (In1,In2 : in STD_LOGIC; Out1 : out STD_LOGIC); end entity and2; architecture and2_arch of and2 is begin Out1 <= In1 and In2; end architecture and2_arch; Lecture #11 Page 6

Decoders using Structural VHDL Decoder Example - Now let's work on the top level design entity called "decoder_2to4" entity decoder_2to4 is port (A,B : in STD_LOGIC; Y0,Y1,Y2,Y3 : out STD_LOGIC); end entity decoder_2to4; Lecture #11 Page 7

Decoders using Structural VHDL Decoder Example - Now let's work on the top level design architecture called "decoder_2to4_arch" architecture decoder_2to4 _arch of decoder_2to4 is signal A_n, B_n : STD_LOGIC; component inv port (In1 : in STD_LOGIC; Out1 : out STD_LOGIC); end component; component and2 port (In1,In2 : in STD_LOGIC; Out1 : out STD_LOGIC); end component; begin ……… Lecture #11 Page 8

Decoders using Structural VHDL Decoder Example - cont…. begin U1 : inv port map (A, A_n); U2 : inv port map (B, B_n); U3 : and2 port map (A_n, B_n, Y0); U4 : and2 port map (A, B_n, Y1); U5 : and2 port map (A_n, B, Y2); U6 : and2 port map (A, B, Y3); end architecture decoder_2to4 _arch; Lecture #11 Page 9