Supervised Learning Based Model for Predicting Variability-Induced Timing Errors Xun Jiao, Abbas Rahimi, Balakrishnan Narayanaswamy, Hamed Fatemi, Jose.

Slides:



Advertisements
Similar presentations
Tunable Sensors for Process-Aware Voltage Scaling
Advertisements

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
Context Compression: using Principal Component Analysis for Efficient Wireless Communications Christos Anagnostopoulos & Stathes Hadjiefthymiades Pervasive.
Dynamic Face Recognition Committee Machine Presented by Sunny Tang.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
© 2005 Altera Corporation © 2006 Altera Corporation Placement and Timing for FPGAs Considering Variations Yan Lin 1, Mike Hutton 2 and Lei He 1 1 EE Department,
1 Ensembles of Nearest Neighbor Forecasts Dragomir Yankov, Eamonn Keogh Dept. of Computer Science & Eng. University of California Riverside Dennis DeCoste.
Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Jieyi Long and Seda Ogrenci Memik Dept. of EECS, Northwestern Univ. Automated Design.
Vector Multiplication & Color Convolution Team Members Vinay Chinta Sreenivas Patil EECC VLSI Design Projects Dr. Ken Hsu.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
Oral Defense by Sunny Tang 15 Aug 2003
© 2013 IBM Corporation Efficient Multi-stage Image Classification for Mobile Sensing in Urban Environments Presented by Shashank Mujumdar IBM Research,
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
Unit 3a Industrial Control Systems
Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations ‡ Computer Science and Engineering, UC San Diego variability.org.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Pro-VIZOR: Process Tunable Virtually Zero Margin Low Power Adaptive RF for Wireless Systems Presented by: Shreyas Sen June 11, Paper 27.3, DAC 08.
1 A Variability-Aware OpenMP Environment for Efficient Execution of Accuracy-Configurable Computation on Shared-FPU Processor Clusters Abbas Rahimi, Andrea.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
Presented by Tienwei Tsai July, 2005
Assuring Application-level Correctness Against Soft Errors Jason Cong and Karthik Gururaj.
Chapter 8 Problems Prof. Sin-Min Lee Department of Mathematics and Computer Science.
1 5. Application Examples 5.1. Programmable compensation for analog circuits (Optimal tuning) 5.2. Programmable delays in high-speed digital circuits (Clock.
1 Exploring Custom Instruction Synthesis for Application-Specific Instruction Set Processors with Multiple Design Objectives Lin, Hai Fei, Yunsi ACM/IEEE.
Procedure Hopping: a Low Overhead Solution to Mitigate Variability in Shared-L1 Processor Clusters Abbas Rahimi.
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
1 A Cost-effective Substantial- impact-filter Based Method to Tolerate Voltage Emergencies Songjun Pan 1,2, Yu Hu 1, Xing Hu 1,2, and Xiaowei Li 1 1 Key.
-1- Statistical Analysis and Modeling for Error Composition in Approximate Computation Circuits Wei-Ting Jonas Chan 1, Andrew B. Kahng 1, Seokhyeong.
Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction Yiyu Shi*, Jinjun Xiong +, Howard Chen + and Lei He* *Electrical.
Axilog: Language Support for Approximate Hardware Design DATE 2015 Georgia Institute of Technology Alternative Computing Technologies (ACT) Lab Georgia.
11 Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures Songjun Pan Yu Hu Xiaowei Li {pansongjun, huyu,
Patricia Gonzalez Divya Akella VLSI Class Project.
Analog/Digital Conversion
Harnessing Soft Computation for Low-Budget Fault Tolerance Daya S Khudia Scott Mahlke Advanced Computer Architecture Laboratory University of Michigan,
Learning Photographic Global Tonal Adjustment with a Database of Input / Output Image Pairs.
Xiaoqing Xu1, Tetsuaki Matsunawa2
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
CUHK Test and Fault-Tolerance for Timing Error Presenter: Feng Yuan.
Yuxi Liu The Chinese University of Hong Kong Circuit Timing Problem Driven Optimization.
Prediction of Interconnect Net-Degree Distribution Based on Rent’s Rule Tao Wan and Malgorzata Chrzanowska- Jeske Department of Electrical and Computer.
16 Bit Barrel Shifter Using D3L Logic
High-Speed Stochastic Circuits Using Synchronous Analog Pulses M
‡University of California Berekely
Hiba Tariq School of Engineering
Evaluating Register File Size
Abbas Rahimi‡, Luca Benini†, and Rajesh Gupta‡ ‡CSE, UC San Diego
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
The University of British Columbia
Abbas Rahimi, Luca Benini, Rajesh K. Gupta
Pipelining and Vector Processing
LOW POWER DIGITAL VIDEO COMPRESSION HARDWARE DESIGN
Error rate due to noise In this section, an expression for the probability of error will be derived The analysis technique, will be demonstrated on a binary.
Ganapathy Mani, Bharat Bhargava, Jason Kobes*
Timing Analysis 11/21/2018.
Approximate Fully Connected Neural Network Generation
A 100 µW, 16-Channel, Spike-Sorting ASIC with On-the-Fly Clustering
Yiyu Shi*, Jinjun Xiong+, Howard Chen+ and Lei He*
FPGA Glitch Power Analysis and Reduction
†UCSD, ‡UCSB, EHTZ*, UNIBO*
Post-Silicon Calibration for Large-Volume Products
ELE 523E COMPUTATIONAL NANOELECTRONICS
Measuring the Gap between FPGAs and ASICs
Abbas Rahimi‡, Luca Benini†, and Rajesh Gupta‡ ‡CSE, UC San Diego
Improved Design Debugging using Maximum Satisfiability
Srinivas Neginhal Anantharaman Kalyanaraman CprE 585: Survey Project
Detecting Attacks Against Robotic Vehicles:
Presentation transcript:

Supervised Learning Based Model for Predicting Variability-Induced Timing Errors Xun Jiao, Abbas Rahimi, Balakrishnan Narayanaswamy, Hamed Fatemi, Jose Pineda de Gyvez, Rajesh K. Gupta UCSD, NXP Semiconductors

Outline Motivation Variability causes timing errors Timing error analysis framework Prediction model based on supervised learning Supervised learning method History notion Per-stage vs. per-operator Experimental result Prediction accuracy Guardband reduction on approximate applications Conclusion and future work

Outline Motivation Variability causes timing errors Timing error analysis framework Prediction model based on supervised learning Supervised learning method History notion Per-stage vs. per-operator Experimental result Prediction accuracy Guardband reduction on approximate applications Conclusion and future work

Variability Causes Timing Errors Sources of variations: manufacturing, environmental and workload  timing errors in hardware Avoiding timing errors  Conservative guardbands  Efficiency loss  Temperature Clock actual circuit delay guardband Aging VCC Droop Process

Guardband Reduction Techniques Detection & Correction : Observation using in situ monitors (Razor, EDS) with cycle-by-cycle corrections (leveraging CMOS knobs or replay) Predict & Prevent : Relying on external or replica monitors Model-based rule  derive adaptive guardband to prevent error Error acceptance: Accepting errors in approximate applications while ensuring application quality Prediction & Prevention + Error acceptance Detect Correct Sensor Model Prevent Approximate Quality [1] Abbas Rahimi, et al., “Hierarchically Focused Guardbanding: An Adaptive Approach to Mitigate PVT Variations and Aging,” DATE2013.

Our Contributions Predicting bit-level timing error for unseen workload, while Varying voltage and temperature corners Varying clock speed We use a supervised learning method that exhibits an average prediction accuracy of 95% for different FPUs This accuracy can be used to achieve a 0%–15% guardband reduction While satisfying the reliability specification for four error-tolerant applications Supervised learning methods for timing error model generation, such as ours, can open the doors for online guardband management!

Outline Motivation Variability causes timing errors Timing error analysis framework Prediction model based on supervised learning Supervised learning method History notion Per-stage vs. per-operator Experimental result Prediction accuracy Guardband reduction on approximate applications Conclusion and future work

Timing Error Extraction and Model Validation Flow 45nm Cell Libs Design Compiler IC Compiler FPUs VHDL Prime Time Variable Parameters Netlist &SPEF (Voltage, Temp) Clock ModelSim Simulation STA FloPoCo Golden Output ASIC flow RTL from FloPoCo Placed-and-routed TSMC 45nm Gate-level simulation SDF back-annotation Varying clock speed and inputs Model validation Profiling data from Multi2Sim Comparison between prediction result and golden result Error Prediction Trained Prediction Model Applications Validation Prediction Accuracy & Skill Score Profiling Data

Outline Motivation Variability causes timing errors Timing error analysis framework Prediction model based on supervised learning Supervised learning method History notion Per-stage vs. per-operator Experimental result Prediction accuracy Guardband reduction on approximate applications Conclusion and future work

Supervised Learning Why supervised learning for model generation? * a [31:0] b [31:0] CLK M1 Mi … c [31:0] Binary classifier: Given any input features, predict whether some bit would be erroneous. LR: For input x we predict timing erroneous if where Evaluate three different methods: K-NN, SVM and LR. K-NN: less than 80% prediction accuracy  SVM vs. LR: Equal high prediction accuracy  LR has better efficacy in the training time!

Capturing History for Inputs Binary classifier Input feature Output target Corresponding input: combine previous input and current input {x[t], x[t+1]} Corresponding input??? Output at each cycle op1 op2 Ci: 0011 1101 Ci+1 : 0101 1010 Ci+2 : 1100 0110 output Ci+6 : 01xx Ci+7 : x101 Ci+8 : 0x1x 6 stage pipeline delay Data stream Hamming distance: 3 Hamming distance: 0 op1 op2 Ci+100: 0101 1001 Ci+101 : 0101 1010 Ci+102 : 1100 0110 output Ci+106 : 01xx Ci+107 : 1x0x Ci+108 : 0x1x 6 stage pipeline delay

Modeling Strategies: Per-stage vs. Per-FPU * a [31:0] b [31:0] CLK M1 Mi … c [31:0] model model model model Per-stage: build model for each stage and then combine them together Per-FPU: build model for entire FPU. 0.1% difference between two granularity. Per-FPU is more computational efficient.

Model Generation and Model Utilization (V, T, Clock) Training Inputs Delay Simulation Golden Output Prediction Model Using Binary Classifiers Xt-1 Xt Yt Model Generation Test Inputs Delay (V, T) X’t-1 X’t Possible Guardband Reduction Model Utilization Reliability Specification Prediction Model Using Binary Classifiers Clock

Outline Motivation Variability causes timing errors Timing error analysis framework Prediction model based on supervised learning Supervised learning method History notion Per-stage vs. per-operator Experimental result Prediction accuracy Guardband reduction on approximate applications Conclusion and future work

Reliability Specification for Approximate Computing Image processing applications: Sobel and Gaussian filters (PSNR > 26dB) Other applications: Matrix Multiplication, DCT (Deviation < 10%) Reliability specification: The probability that a bit must keep reliable to make the output quality acceptable. Errors in 20th bit in the multiplier with probability of 0.2  PSNR of 34dB.  reliability specification(20th bit) < 80%. Sobel filter: Adder, Multiplier and SQRT Reference PSNR=30dB Approximation

Our Goal Prediction accuracy > reliability specification  guardband reduction

Prediction Accuracy Prediction accuracy (minimum, average, maximum) at two corners. Multiplier Adder Emphasize prediction accuracy > reliability spec SQRT Reliability specification and prediction accuracy for Sobel filter at (0.85V, 50C).

Guardband Reduction Bit-level guardband reduction (%) for the multiplier at two corners: (0.72V, 0◦C)/(0.85V, 50◦C). Bit-level guardband reduction (%) for the adder at two corners: (0.72V, 0◦C)/(0.85V, 50◦C). Instruction-level guardband reduction (%) at two corners: (0.72V, 0◦C)/(0.85V, 50◦C).

Conclusion Generates a functional model for predicting the timing errors at the bit-level for a given amount of reduced guardband. An average accuracy of 95% for timing error prediction with a wide range of variability conditions: △V=0.13V and △T=50°C and unseen workload. The guardband can be reduced 0%–15% while satisfying the reliability specification for the error-tolerant applications. Future work Our ongoing work focuses on efficient utilization of such modeling approach for runtime guardband reduction