1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.

Slides:



Advertisements
Similar presentations
Porosity Aware Buffered Steiner Tree Construction C. Alpert G. Gandham S. Quay IBM Corp M. Hrkic Univ Illinois Chicago J. Hu Texas A&M Univ.
Advertisements

Linear Time Algorithm to Find All Relocation Positions for EUV Defect Mitigation Yuelin Du, Hongbo Zhang, Qiang Ma and Martin D. F. Wong ASPDAC13.
Leena Suhl University of Paderborn, Germany
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
Fast Algorithms For Hierarchical Range Histogram Constructions
An Effective Floorplanning Algorithm in Mixed Mode Placement Integrated with Rectilinear- Shaped Optimization for Soft Blocks Changqi Yang, Xianlong Hong,
Xiaoqing Xu1, Brian Cline2, Greg Yeric2, Bei Yu1, David Z. Pan1
1 Advancing Supercomputer Performance Through Interconnection Topology Synthesis Yi Zhu, Michael Taylor, Scott B. Baden and Chung-Kuan Cheng Department.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
An ILP-based Automatic Bus Planner for Dense PCBs P. C. Wu, Q. Ma and M. D. F. Wong Department of Electrical and Computer Engineering, University of Illinois.
TPL-aware displacement-driven detailed placement refinement with coloring constraints Tao Lin and Chris Chu Iowa State University 1.
MCFRoute: A Detailed Router Based on Multi- Commodity Flow Method Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, Zuowei Li.
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Reticle Floorplanning With Guaranteed Yield for Multi-Project Wafers Andrew B. Kahng ECE and CSE Dept. University of California San Diego Sherief Reda.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
1 An Empirical Study on Large-Scale Content-Based Image Retrieval Group Meeting Presented by Wyman
A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, and Ting-Chi Wang Department of.
Sambuddha Bhattacharya Subramanian Rajagopalan Shabbir H. Batterywala Fixing Double Patterning Violations With Look-Ahead ASD-DAC’14.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Constrained Pattern Assignment for Standard Cell Based Triple Patterning Lithography H. Tian, Y. Du, H. Zhang, Z. Xiao, M. D.F. Wong Department of ECE,
Optimally Minimizing Overlay Violation in Self-aligned Double Patterning Decomposition for Row-based Standard Cell Layout in Polynomial Time Z. Xiao, Y.
Hsiu-Yu Lai Ting-Chi Wang A TPL-Friendly Legalizer for Standard Cell Based Design SASIMI ‘15.
CSE 144 Project Part 2. Overview Multiple rows Routing channel between rows Components of identical height but various width Goal: Implement a placement.
1 Shortest Path Calculations in Graphs Prof. S. M. Lee Department of Computer Science.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
Y. Kotani · F. Ino · K. Hagihara Springer Science + Business Media B.V Reporter: 李長霖.
K.Yuan, J.Yang and D.Pan ECE Dept. Univ. of Texas at Austin
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
NTUEE 1 Coupling-Constrained Dummy Fill for Density Gradient Minimization Huang-Yu Chen 1, Szu-Jui Chou 2, and Yao-Wen Chang 1 1 National Taiwan University,
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Register Placement for High- Performance Circuits M. Chiang, T. Okamoto and T. Yoshimura Waseda University, Japan DATE 2009.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Dept. of Electrical and Computer Engineering The University of Texas at Austin E-Beam Lothography Stencil Planning and Optimization wit Overlapped Characters.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
Configurable Multi-product Floorplanning Qiang Ma, Martin D.F. Wong, Kai-Yuan Chao ASP-DAC 2010.
Self-Aligned Double Patterning Decomposition for Overlay Minimization and Hot Spot Detection H. Zhang, Y. Du, M. D.F. Wong, R. Topaloglu Dept. of ECE,
Peng Du, Wenbo Zhao, Shih-Hung Weng, Chung-Kuan Cheng, Ronald Graham CSE Dept., University of California, San Diego, CA Character Design and Stamp Algorithms.
Hsing-Chih Chang Chien Hung-Chih Ou Tung-Chieh Chen Ta-Yu Kuan Yao-Wen Chang Double Patterning Lithography-Aware Analog Placement.
A Stable Fixed-outline Floorplanning Method Song Chen and Takeshi Yoshimura Graduate School of IPS, Waseda University March, 2007.
An Efficient Linear Time Triple Patterning Solver Haitong Tian Hongbo Zhang Zigang Xiao Martin D.F. Wong ASP-DAC’15.
Escape Routing of Mixed-Pattern Signals Based on Staggered-Pin- Array PCBs K. Wang, H. Wang and S. Dong Department of Computer Science & Technology, Tsinghua.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
PARR:Pin Access Planning and Regular Routing for Self-Aligned Double Patterning XIAOQING XU BEI YU JHIH-RONG GAO CHE-LUN HSU DAVID Z. PAN DAC’15.
Non-stitch Triple Patterning- Aware Routing Based on Conflict Graph Pre-coloring Po-Ya Hsu Yao-Wen Chang.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Yen-Ting Yu Iris Hui-Ru Jiang Yumin Zhang Charles Chiang DRC-Based Hotspot Detection Considering Edge Tolerance and Incomplete Specification ICCAD’14.
Chin-Hsiung Hsu, Yao-Wen Chang, and Sani Rechard Nassif From ICCAD09.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
BOB-Router: A New Buffering-Aware Global Router with Over-the-Block Routing Resources Yilin Zhang1, Salim Chowdhury2 and David Z. Pan1 1 Department of.
High-Performance Global Routing with Fast Overflow Reduction Huang-Yu Chen, Chin-Hsiung Hsu, and Yao-Wen Chang National Taiwan University Taiwan.
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
An O(bn 2 ) Time Algorithm for Optimal Buffer Insertion with b Buffer Types Authors: Zhuo Li and Weiping Shi Presenter: Sunil Khatri Department of Electrical.
1 A Methodology for automatic retrieval of similarly shaped machinable components Mark Ascher - Dept of ECE.
Dept. of Electronics Engineering & Institute of Electronics National Chiao Tung University Hsinchu, Taiwan ISPD’16 Generating Routing-Driven Power Distribution.
11 Yibo Lin 1, Xiaoqing Xu 1, Bei Yu 2, Ross Baldick 1, David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE Department, Chinese University.
VLSI Physical Design Automation
Hybrid BDD and All-SAT Method for Model Checking
Parallel Density-based Hybrid Clustering
Presentation transcript:

1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University ISPD 2016

2 Outline Introduction Conclusions Contributions Algorithm Experimental Results Problem Formulation

3 Directed Self-Assembly Block Copolymer ․ The directed self-assembly (DSA) technology has emerged as a promising candidate for sub-10 nm designs [Xiao et al., SPIE’13] (a) (b) (c) (d) += Self-assembly block copolymer Topographical templates Smaller and denser patterns Template Feature

4 1-D Style Layout Design with DSA ․ DSA has applications in  Contact hole patterning [Wong et al., SPIE’12]  Cut printing of 1-D gridded designs [Ma et al., SPIE’14] ․ 1-D layouts are popular for their regularity [Lam et al., SPIE’11] ․ DSA can be used to pattern dense cuts in 1-D layouts Dummy wire (gap) DSA templates The resulting 1-D layout Real wire

5 Challenge of Cut Printing with DSA ․ The size of the feasible-template library is limited ․ Conflict cost: two close templates might interfere with each other [Ma et al., SPIE’14]  # cut pairs with spacing conflicts should be minimized [Ma et al., SPIE’14] Complex template Poor printability

6 Cut Redistribution ․ Redistribute cuts to reduce conflict costs ․ Wire cost: the total length of extended real wires should be minimized considering the performance impact ․ Given a layout, minimize its conflict cost and wire cost  DSA template guided cut redistribution problem (DSA-TCR) vs. Extended wire

7 Double Patterning ․ Double patterning can be adopted to further improve the image fidelity of templates in cut printing with DSA [Ma et al., SPIE’14] ․ Integrate DSA cut redistribution with double patterning  Conflict costs and wire costs can be further reduced (a) Dense templates (b) Double patterning decomposition Mask 1 Mask 2 (c) Patterned cuts

8 Outline Introduction Conclusion Contributions Algorithm Experimental Results Problem Formulation

9 Main Contributions: Algorithms ․ A linear-time optimal algorithm for the special case with limited contiguous rows is identified  Simultaneously minimize conflict costs and wire costs ․ A linear-time algorithm for decomposing a general problem into subproblems conforming to the special case  With double patterning, a criterion for non-interfering subproblems is proposed Large distance No conflict Different mask No conflict Subproblems with contiguous rows Subproblem of special case

10 Main Contributions: Performance ․ The total wire cost of a derived template distribution is linear to the number of cuts ․ Experimental results show that our algorithm can resolve all conflicts, with smaller running times, compared with the related previous works

11 Outline Introduction Conclusion Contributions Algorithm Experimental Results Problem Formulation

12 Problem Formulation ․ Given  A library of templates, design rules, a layout, and original distribution of cuts ․ Output  A template distribution patterning required cuts  A mask assignment of all derived templates ․ Objective  An ordered optimization on the conflict cost first and then the wire cost  Our algorithm can readily apply to the linear combination of conflict cost and wire cost

13 Outline Introduction Conclusion Contributions Algorithm Experimental Results Problem Formulation

14 Overall Flow ․ Propose an algorithm (DPDSA-Core), incorporating DSA with double patterning  Divide a general problem into subproblems Subproblem candidate construction Solving every subproblem candidate Subproblem candidate selection Input Output

15 Subproblem Candidate Construction Subproblem candidate construction Solving every subproblem candidate Subproblem candidate selection Input Output

16 Special Case with Contiguous Rows No conflict Different mask No conflict

17 Solutions of Partitions ․ Subproblems with fewer rows can have less internal wire cost and conflict cost ․ Also consider the subproblems with fewer rows when a subproblem partition with fewer inter-costs exists ․ A linear-time partition algorithm is proposed Subproblem with fewer rows

18 Solving Subproblem Candidates Subproblem candidate construction Solving every subproblem candidate Subproblem candidate selection Input Output

19 Overview ․ Given a fixed r M, solve a subproblem optimally in linear time to the number of gaps in the subproblem ․ Find a path of gap solutions with minimized conflict costs and wire costs Template specifier Distribution specifier g2g2 g1g1 h t h,j d h,j … … … … … … h h+1h+2 … … … … v h,j v h+2,j v h+1,j v h,j+2 v h+2,j+2 v h+1,j+2 v h,j+1 v h+2,j+1 v h+1,j+1 Divide a sub-problem into multiple columns For every column, generate feasible gap solutions

20 Data Structure of Column Solutions ․ Template specifier specifies the topologies of cuts in the current column and restrict the templates next to it ․ To consider cut conflict completely, store the distribution of previous cuts in a column solution ․ For every column solution, find the best compatible previous column solution Horizontal column index Previous cut distribution Template specifier Distribution specifier t h,j d h,j

21 Column-wise Searching ․ Construct column solutions from left to right ․ For every pair of a column solution and its predecessor, evaluate the current conflict costs and wire costs ․ Then trace back according to predecessors for a path g2g2 g1g1 Add 1 conflict Add 1 grid wire cost Right cut of g 2 hasn’t addressed yet Predecessor Current solution

22 Reduced Column-Space ․ Prune redundant columns to speed up the process ․ For ever gap, calculate the remaining columns within it  First, calculate the possible range for cuts  Scan from left to right, and use the information of possible range to get the remaining columns ․ Restrict the complexity of the number of remaining columns and the total wire cost to be linear  Thus the running time grows linearly Possible range Remaining columns

23 Subproblem Candidate Selection Subproblem candidate construction Solving every subproblem candidate Subproblem candidate selection Input Output

24 Overview of Partition Algorithm ․ Divide the whole layout into regions Subproblem candidate selection Region construction Region solution graph construction Optimal region solution path determination Template distribution construction R1R1 R0R0 R2R2 R1R1 R0R0 R2R2 v1v1 v2v2 v3v3 v4v4 v5v5

25 Region Solutions ․ Every region solution is a set of solved subproblems Subproblem candidate selection Region construction Region solution graph construction Optimal region solution path determination Template distribution construction R1R1 R2R R1R1 R2R2

26 Region Solution Graph ․ An edge exists between two region solutions when they share a subproblem Subproblem candidate selection Region construction Region solution graph construction Optimal region solution path determination Template distribution construction R2R2 R1R1 R2R2 R1R1 ․ Inter-subproblem conflicts can occur only between adjacent regions for the large enough height of regions

27 Partition from Region Solution ․ Get subproblems by selected region solutions ․ Construct the whole solution by selected subproblems Subproblem candidate selection Region solution graph construction Optimal region solution path determination Template distribution construction Region construction

28 Extension ․ A framework to relax the same-mask constraint in a row Input Output Terminal condition Double-patterning for templates DPDSA-Core for every conflicting component Not satisfied Satisfied Conflicting component selection and merging Connected component construction

29 Outline Introduction Conclusion Contributions Algorithm Experimental Results Problem Formulation

30 Experimental Setting ․ Platform: 2.13 GHz Linux workstation with 16 GB memory ․ Programming language: C++ ․ Resource of benchmarks: the authors of [Xiao et al., SPIE’13] ․ Compared with  [Xiao et al., SPIE’13] [Ou et al., GLSVLSI’15]  Extend these works by a general high-level framework [Badr et al., SPIE’15] for DSA-multiple patterning hybrid lithography  The framework consists of the two stages GP-MP (GM) and MP- GP (MG)  GP: group cuts into templates  MP: Assign masks

31 Conflict Costs no conflict!! Number of cuts Initial conflict Conflict cost GP-MPMP-GP S13S15spS15SCS13S15spS15SCours Average

32 Wire Cost ․ Our wire cost is 6X smaller than S15sp with GP-MP ․ Except pure-ILP works, our wire costs are consistently smaller than others with the same numbers of conflicts Number of cuts Wire cost GP-MPMP-GP S13S15spS15SCS13S15spS15SCours Average Normalized average

33 Running Time ․ Our algorithm has better scalability than others Better scalability Number of cuts Running time (seconds) GP-MPMP-GP S13S15spS15SCS13S15spS15SCours Average Normalized average

34 Outline Introduction Conclusion Contributions Algorithm Experimental Results Problem Formulation

35 Conclusions ․ A linear-time optimal algorithm for the special case of DSA-TCR ․ A linear-time algorithm for decomposing general double patterning DSA-TCR problems and reduce inter- subproblem conflicts cost ․ Better experimental results compared with the previous work ․ Future Work  Incorporate DSA with multiple patterning technology

36 Thank You!