Presentation is loading. Please wait.

Presentation is loading. Please wait.

MEMS and sensors technology

Similar presentations


Presentation on theme: "MEMS and sensors technology"— Presentation transcript:

1 MEMS and sensors technology
Recent development of MEMS and sensors technology is essentially based on micromachinig. This technology consists of specific design and fabrication processes, many of which are borrowed from the integrated circuit industry. The important feature of micromachining, leading to manufacturing costs reduction is batch fabrication, i.e. simultaneous manufacturing of hundreds or thousans of identical structures. Gas sensors fabricated on 3” silicon wafer Schematic process flow in micromachining. It is repeated until completion of a microstructure. 1

2 1. Thin Film Deposition Processes
In technology of MEMS and sensors one of the main steps is deposition of thin films of materials in interest. Deposition technology can be classified in two groups: Depositions with the help of chemical reactions: Chemical Vapor Deposition (CVD) Electrodeposition Epitaxy Thermal oxidation These processes exploit the creation of solid materials directly from chemical reactions in gas and/or liquid compositions or with the substrate material. The solid material is usually not the only product formed by the reaction. Byproducts can include gases, liquids and even other solids. Depositions that happen because of a physical process: Physical Vapor Deposition (PVD) Casting The material deposited is physically moved on to the substrate. 2 2

3 Chemical Vapour Deposition (CVD)
On the substrate placed inside a reactor a solid material condenses due to chemical reactions between source gases introduced into the reactor. The two most important CVD technologies are the Low Pressure CVD (LPCVD) and Plasma Enhanced CVD (PECVD). The PECVD process can operate at lower temperatures (down to 300° C) thanks to the extra energy supplied to the gas molecules by the plasma in the reactor. However, the quality of the films tend to be inferior to processes running at higher temperatures. The material is deposited on one side of the wafers. The LPCVD process produces layers with excellent uniformity of thickness and material characteristics. The main problems with the process are the high deposition temperature (higher than 600°C) and the relatively slow deposition rate. The material is deposited on both sides of the substrates (wafers). 3 Typical hot-wall LPCVD reactor 3

4 Electrodeposition (electroplating)
Essentially restricted to deposition of electrically conductive materials (metals: copper, gold, nickel). The plating process can be also electroless – external electric field and conductive surface not required – thickness and uniformity of a deposit difficult to control. Typical setup for electrodeposition. Example solutions for electroplating selected metals When an electrical potential is applied between a conducting area on the substrate and a counter electrode (usually platinum) in the liquid, a chemical redox process takes place resulting in the formation of a layer of material on the substrate and usually some gas generation at the counter electrode. 4 4

5 Epitaxial growth If the substrate is an ordered crystal, it is possible to grow on it the material with the same crystallographic orientation, which is known as epitaxy. Vapor Phase Epitaxy (VPE) is the most important process in which the conditions are created to support epitaxial growth. Scheme of a typical reactor used in VPE process In VPE a number of gases are introduced in an induction heated reactor where only the substrate is heated. The temperature of the substrate typically must be at least 50% of the melting point of the material to be deposited. The high growth rate allows obtaining layers exceeding 100 µm in thickness. This is the basic technology of production electronic c-Si and also SOI substrates. 5 5

6 Thermal oxidation The substrate can be oxidized in an oxygen rich atmosphere. In the case of silicon the temperature is raised to 800° C ° which gives high quality amorphous silicon dioxide. The final oxide thickness can be controlled by selecting the temperature and oxidizing conditions. Thermal oxidation of silicon generates compressive stress in the silicon dioxide film,as SiO2 molecules take more volume than Si atoms, and there is also a mismatch between the coefficients of thermal expansion of Si and SiO2 . As a result, thermally grown oxide films cause bowing of the underlying substrate. Typical view of a furnace used for wafers oxidation. 6 6

7 Evaporation Evaporation belongs to PVD processes in which the material is released from a source and transferred to the substrate. In evaporation the substrate and evaporation source are placed inside a vacuum chamber. The source material is then heated to the point where it evaporates and the vapours condense on the substrate. Two methods of heating the source are the most popular: e-beam heating and resistive heating. Nearly any element (e.g.,Al, Si, Ti, Au), including many high-melting-point (refractory) metals and compounds (e.g., Cr, Mo, Ta, Pd, Pt, Ni/Cr), can be evaporated. Deposited films consisting of more than one element may not have the same composition as the source material due to the differences in evaporation rates of constituting elements. The compound films may then be nonstoichiometric. Schematic view of a thermal evaporation unit with resistive heating 7 7

8 Sputtering In sputtering, a target made of a material to be deposited is physically bombarded by a flux of inert-gas ions (usually argon) in a vacuum chamber at a pressure of 0.1–10 Pa. Atoms or molecules from the target are ejected and deposited onto the substrate. There are several kinds of sputtering differing by the ion excitation mechanism. In direct-current (dc) glow discharge, suitable only for electrically conducting materials, the inert-gas ions are accelerated in a dc electric field between the target and the substrate. In RF (radio frequency), the target and the wafer form two parallel plates with RF excitation applied to the target. In ion-beam deposition ions are generated in a remote plasma, then accelerated at the target. Applying external magnetic field increases the ion density near the target, thus raising the deposition rates (magnetron sputterind). Deposition of thin films in a typical dc sputtering unit 8

9 Casting In casting the material to be deposited is dissolved in a solvent and then applied to the substrate by spraying or spinning. Once the solvent is evaporated, a thin film of the material remains on the substrate. This is particularly useful for polymer materials, which may be easily dissolved in organic solvents, and it is the common method used to apply photoresist to substrates (in photolithography). The thicknesses obtained range from a single monolayer of molecules (adhesion promotion) to tens of micrometers. In recent years, the casting technology has also been applied to form films of glass (SOG) materials on substrates. Thick (5–100 μm) SOG has the ability to uniformly coat surfaces and smooth out underlying topographical variations, effectively planarizing surface features. The spin casting process used in deposition of photoresist in photolithography. 9 9

10 2. Lithography Lithography involves three sequential steps:
• Application of photoresist, which is a photosensitive emulsion layer; • Optical exposure to print an image of the mask onto the resist; • Immersion in an aqueous developer solution to dissolve the exposed resist and render visible the latent image. The mask itself consists of a patterned opaque chromium (the most common), emulsion, or iron oxide layer on a transparent fused-quartz or soda-lime glass substrate. The pattern layout is generated using a computer-aided design (CAD) tool and transferred into the opaque layer at a specialized mask-making facility, often by electron-beam or laser-beam writing. A complete microfabrication process normally involves several lithographic operations with different masks. 10 10

11 Lithography – positive and negative resist
When resist is exposed to a radiation source of a specific wavelength (from UV to blue), the chemical resistance of the resist to developer solution changes. If the resist is placed in a developer solution, it will etch away one of the two regions (exposed or unexposed). If the exposed material is etched away by the developer and the unexposed region is resilient, the material is considered to be a positive resist. The exact opposite process happens in negative resists. Transfer of a pattern to a photosensitive material. 11 11

12 Lithography – subtractive and additive processes
A photosensitive layer is often used as a temporary mask when etching an underlying layer, so that the pattern may be transferred to the underlying layer. Photoresist may also be used as a template for patterning material deposited after lithography. The resist is subsequently etched away, and the material deposited on the resist is "lifted off". Pattern transfer from patterned photoresist to underlying layer by etching (a) and pattern transfer from patterned photoresist to overlying layer by lift-off (b). 12 12

13 Lithography - alignment
In order to make useful devices the patterns for different lithography steps that belong to a single structure must be aligned to one another. The first pattern transferred to a wafer usually includes a set of alignment marks, which are high precision features that are used as the reference when positioning subsequent patterns, to the first pattern as shown in the figure. By providing the location of the alignment mark it is easy for the operator to locate the correct feature in a short time. Each pattern layer should have an alignment feature so that it may be registered to the rest of the layers. Use of alignment marks to register subsequent layers 13 13

14 3. Etching In order to form a functional MEMS structure on a substrate, it is necessary to etch the thin films previously deposited or the substrate itself. In general, there are two classes of etching processes: wet etching where the material is dissolved when immersed in a chemical solution dry etching where the material is sputtered or dissolved using reactive ions or a vapor phase etchant. Differences between anisotropic and isotropic wet and dry etching. Anisotropic etching in contrast to isotropic etching means different etch rates in different directions in the material. The example is the (111) crystal plane sidewalls that appear when etching a hole in a (100) silicon wafer in the potassium hydroxide (KOH). 14 14

15 Anisotropic etching The etch front begins at the opening in the mask and proceeds in the <100> direction, which is the vertical direction in (100)-oriented substrates, creating a cavity with a flat bottom and slanted sides. The sides are {111} planes making a 54.7º angle with respect to the horizontal (100) surface. If left in the etchant long enough,the etch ultimately self-limits on four equivalent but intersecting {111} planes, forming an inverted pyramid or V-shaped trench. Anisotropic wet etching of silicon wafer of (100) crystallographic orientation. Gas sensor on the silicon membrane 15

16 Dry etching The dry etching technology can split in three separate classes called: sputter etching, vapor phase (chemical) etching and reactive ion etching (RIE). In sputter etching the systems used are very similar in principle to sputtering deposition systems but the difference is that substrate is now subjected to the ion bombardment instead of the target. In vapor phase etching the material to be etched is dissolved at the surface in a chemical reaction with the gas molecules (mostly isotropic process). In RIE,under the influence of RF power the gas molecules break into ions which are accelerated towards, and react at, the surface of the material being etched. The balance of chemical and physical etching can give sidewalls that have vertical shapes. Ions Ar, O2 CF4, SF6 RIE Illustration of different dry etching processes 16 16

17 Deep Reactive Ion (DRIE) etching
In this process, etch depths of hundreds of microns can be achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process", where two different gas compositions are alternated in the reactor. The first gas composition creates a polymer on the surface of the substrate, and the second gas composition etches the substrate. The polymer is immediately sputtered away by ion bombardment, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. Profile of a DRIE trench using the Bosch process. Etching aspect ratio (ratio of height to width) of 50 to 1 can be achieved. 17 17

18 Screen printing A wide variety of materials, including metals, chemical compopunds and ceramics, can be applied using screen printing (e.g. in sensor technology). Screen printing begins with the production of a stencil, which is a flat, flexible plate with solid and open areas. The stencil has a fine-mesh screen as a bottom layer. Separately, a paste is made of fine particles of the material of interest, along with an organic binder and a solvent. A mass of paste is applied to the stencil, then smeared along with a squeegee. A layer of paste is forced though the openings in the stencil, leaving a pattern on the underlying substrate. Drying evaporates the solvent. Firing burns off the organic binder and sinters the remaining metal or ceramic into a solid. Illustration of the screen printing process. 18 18


Download ppt "MEMS and sensors technology"

Similar presentations


Ads by Google