Presentation is loading. Please wait.

Presentation is loading. Please wait.

1 Network Packet Generator Characterization presentation Supervisor: Mony Orbach Presenting: Eugeney Ryzhyk, Igor Brevdo.

Similar presentations


Presentation on theme: "1 Network Packet Generator Characterization presentation Supervisor: Mony Orbach Presenting: Eugeney Ryzhyk, Igor Brevdo."— Presentation transcript:

1 1 Network Packet Generator Characterization presentation Supervisor: Mony Orbach Presenting: Eugeney Ryzhyk, Igor Brevdo

2 2 Project goals Build network packet / traffic generator (using ML310 board with Virtex-II Pro chip and Linux OS as a platform). Such generator can be used for various network testing purposes (connectivity, stress, etc.)

3 3 Project goals - detailed Allow generation of different traffic patterns Including well-known attacks, such as Syn attack, Smurf attack, ping-of-death, etc. Allow customization and fine-tuning of the various packet generation parameters at different network stack layers (Ethernet frame, IP packet, TCP/UDP packet)

4 4 “Side effects” Learn Linux development in the embedded environment Gain deep knowledge of network protocols and network programming constrained by the limited resources of embedded system

5 5 System requirements Build configurable, extensible and efficient system

6 6 Architecture and high-level design – Hardware ML310 Ethernet NIC Virtex-II Pro PPC405 PCI bridge Virtex-II Pro

7 7 Architecture and high-level design – Software PPC Linux kernel User mode NIC driver TCP / IP stack Our packet generator (kernel module) Configuration / scripting / …

8 8 Generator kernel module NIC driver Generator module TCP/IP Stack Request processor Frame generator IP packet generator Requests from user mode Work queue Utility unit

9 9 Architecture and high-level design – Software (optional) User mode Configuration / scripting / … Generator module, Kernel, HW, … PC Configuration UI Remote configuration Packet generator

10 10 Architecture and high-level design – Hardware (optional) User mode Configuration / scripting / … Packet generator kernel module PPC Packet gen. accelerator (FPGA core)

11 11 Advantages of using Linux Implementing the main functionality in software allows us to build relatively complex system When using different Linux kernel services there’s no need to write everything from scratch Debugging is easier

12 12 Project schedule – semester 1 Weeks 5-7 Study the system, install and run Linux Weeks 8-10 Write prototype kernel module Weeks 11-14, Exams (break), Finish after the exams Implement “IP packet generator” object Be able to send configurable IP packets with specified rate Set up basic testing environment (done concurrently with other stages)

13 13 Project schedule – semester 2 Complete the system implementation as specified (including user mode components) Build the full testing environment and start intensive testing Start implementing optional features (remote configuration) Signing contract with Cisco


Download ppt "1 Network Packet Generator Characterization presentation Supervisor: Mony Orbach Presenting: Eugeney Ryzhyk, Igor Brevdo."

Similar presentations


Ads by Google