Presentation is loading. Please wait.

Presentation is loading. Please wait.

A NoC Generation and Evaluation Framework

Similar presentations


Presentation on theme: "A NoC Generation and Evaluation Framework"— Presentation transcript:

1 A NoC Generation and Evaluation Framework
ATLAS A NoC Generation and Evaluation Framework

2 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

3 ATLAS Framework The main window of the ATLAS framework allows invoking the tools which compose the stages of the design flow for some NoCs

4 Types of NoCs ATLAS has many others features under way, including support to GALS systems, asynchronous NoCs and packet multicast.

5 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

6 Creating a project Creating or opening a project is the first step in ATLAS framework. To create a project, the user must choose the New Project option on the Projects menu in ATLAS main window. In the New Project window, the user must inform: Project's name NoC type Path where the new project's directory will be created

7 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

8 Generating a NoC The NoC Generation tool produces all modules which compose the NoC, all described in VHDL. It also produces a basic testbench, a set of files described in SystemC. To show the NoC Generation tool, the user must click on the NoC Generation button in ATLAS main window.

9 Generating a NoC In the NoC Generation tool, the user must configure the NoC parameters and click on the Generate button.

10 Generating a NoC During NoC generation, the following structure of directories is constructed: The NoC directory contains the NoC VHDL files; The SC_NoC directory contains the testbench files described in SystemC; The Hermes4x4_2VC.noc file describes the NoC; The simulate.do file is a script for the ModelSim® simulator; The topNoC.vhd file is top simulation file, that instantiates the NoC and connects the testbench to it.

11 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

12 Generating a traffic scenery
The Traffic Generation tool produces the traffic files describing a behaviour to be transmitted through the NoC during simulation. To show the Traffic Generation tool, the user must click on the Traffic Generation button in ATLAS main window.

13 Generating a traffic scenery
To create a new traffic scenery, the user must click on the Manage Scenery menu and select the New Scenery option. In the New Scenery window illustrated, the user must insert the new scenery's name and click on the Ok button.

14 Generating a traffic scenery
The Configuration menu allows the user to define the Standard Configuration of the parameters used by the NoC interfaces during traffic generation. This configuration is called standard because it is assigned by default to all router interfaces of the NoC.

15 Generating a traffic scenery
The Standard Configuration presents the three possible window formats. The five parameters presented in the top of all windows are independent. However, the parameters presented in the bottom of the windows change depending on the choice of distribution type to use (Uniform, Normal and Pareto on/off).

16 Generating a traffic scenery
The NoC visualization area allows the selection of individual routers for configuration of their traffic parameters. In this example, the user clicked on the router with the physical address 22.

17 Generating a traffic scenery
Once at least one router in the NoC has been configured to generate traffic for the simulation, the user can click on the Generate button.

18 Generating a traffic scenery
During the traffic generation, the following directory sub tree is built: The Traffic directory contains all traffic sceneries of the project; The uniform_20percent directory contains all files of traffic scenery with the same name; The uniform_20percent.traffic file describes the traffic scenery; The In directory contains the simulation input files which are generated by the Traffic Generation tool; The Out directory is created to contain the output files generated after the simulation step.

19 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

20 Simulating the NoC In ATLAS framework, NoC simulation is executed using the ModelSim® simulator. If the user doesn't have access to this simulator or if he/she desires to use another simulator, this can still be undertaken, but the simulation phase must not be executed within the ATLAS framework. To show the window used for simulation control, the user must click on the Simulation button in the ATLAS main window.

21 Simulating the NoC In the Simulation tool, the user must inform:
scenery's name indicates the scenery's name to be simulated; Simulation Time is configured in two parameters: (i) number of time units (an integer) and (ii) time resolution (fs, ps, ns, us, ms or sec); Internal Evaluation indicates if the simulation results must produce data to allow the internal evaluation of the NoC (internal ports and links of the NoC); Power Sampling Period indicates the interval in which the average power will be calculated;

22 Simulating the NoC The NoC Simulation tool uses scripts to manipulate the traffic scenery files, to invoke the ModelSim® simulator and to compile and simulate the NoC. A progress bar is showed after the start of the simulation. If all goes well, at the end of simulation this progress bar is closed and the simulation output files are in the Out directory of the traffic scenery.

23 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

24 Evaluating the NoC performance
The Performance Evaluation tool was developed to facilitate the analysis of the result data generated during simulation of the NoC. To show this tool, the user must click on the Performance Evaluation button in the ATLAS main window. The first step in this tool is the selection of the traffic scenery to be analysed. The user selects the traffic scenery for analysis when he/she clicks on the Open button.

25 Evaluating the NoC performance
In the Performance Evaluation tool, the user can fire the analysis of several basic parameters associated to the external or internal working of the NoC message delivery services. This includes but is not limited to evaluation of throughput and latency data at specific points of the NoC or at its external interfaces.

26 Evaluating the NoC performance
Three types of reports can be generated: Links Analysis Report (only when internal evaluation was selected) Latency Analysis Report Global Report

27 Evaluating the NoC performance
Links Analysis Report shows a report containing information (for example, the number of packets transmitted, the number of bits per clock cycle) about all links in the NoC.

28 Evaluating the NoC performance
Latency Analysis Report shows a list of the packets that presented extreme values of latency during simulation, ordered by latency dimension. In the latency analysis report, the user must inform: number of packets type of ordering

29 Evaluating the NoC performance
Global Report presents a global summary of the external evaluation data. This summary is showed in HTML format. The external analysis results are grouped in flows. A flow is a set of packets which possess the same source and target cores.

30 Evaluating the NoC performance
The external evaluation refers to performance data related exclusively to the local ports of the NoC routers. To visualize data resulting from external evaluation, the user must select the External option in the Evaluation parameter.

31 Evaluating the NoC performance
The external evaluation results are grouped in flows. The user should configure the following parameters: address of the source and target cores of the desired flow number of points to plot when showing the data in the graph (parameter points per interval, named option Interval) percentage of packets to suppress when showing the performance data Based in these parameters, two types of graphs can be generated: Throughput Distribution Latency Distribution

32 Evaluating the NoC performance
The internal evaluation allows analysing the data referring to the internal ports and links of the NoC. To visualize data resulting from internal evaluation, the user must select the Internal option in the Evaluation parameter. This parameter is deactivated when the user does not select the Internal Evaluation option during the simulation phase.

33 Evaluating the NoC performance
The internal evaluation allows the generation of performance data about five parameters: number of flits transmitted cycles per flit channel utilization throughput rates distribution There are two non-exclusive formats available to visualize the results: Text 3D graph

34 Outline ATLAS framework Creating a project Generating a NoC
Generating a traffic scenery Simulating the NoC with a traffic scenery Evaluating the NoC performance Evaluating the NoC power consumption

35 Evaluating the NoC power
The HEFESTUS module is responsible to perform the Hermes NoC power evaluation, based on a rate-based power estimation model. To show this tool, the user must click on the Power Evaluation button in the ATLAS main window.

36 Evaluating the NoC power
The Power Evaluation window has three main buttons: Router Power Dissipation Analysis NoC Average Power Dissipation NoC Power Distribution The field time represents the amount of time for the power distribution analysis button.

37 Evaluating the NoC power
The HEFESTUS module can perform power evaluation before and after the NoC simulation executed in the ATLAS framework. Before the simulation, in the Pre-Simulation Analysis field, the user can evaluate the power dissipation of every router type present in the NoC.

38 Evaluating the NoC power
The Post-Simulation Analysis field present the power evaluation performed after the NoC simulation executed in the ATLAS framework, and these evaluations are performed using a rate-based power estimation model. NoC Average Power Consumption button displays a graphic for the entire simulation time.

39 Evaluating the NoC power
The NoC Power Distribution button shows a 3D graphic with the NoC power dissipation distribution for the simulation time described in the time field.

40 Evaluating the NoC power
The evaluated NoC is displayed at the right side of the main window, selecting any of the represented routers will display, graphically, the selected router average power dissipation for the entire simulation time.

41 ATLAS A NoC Generation and Evaluation Framework


Download ppt "A NoC Generation and Evaluation Framework"

Similar presentations


Ads by Google