Presentation is loading. Please wait.

Presentation is loading. Please wait.

Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2.

Similar presentations


Presentation on theme: "Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2."— Presentation transcript:

1 Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2

2 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3

3 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3 01100110 =XOR 0 1 1 0

4 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3 00010001 =AND 0 0 0 1

5 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3 01110111 =OR 0 1 1 1

6 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3 11101110 =NAND 1 1 1 0

7 Multiplexers Y 4 x 1 MUX s0s1 C0 C1 C2 C3 Y s1s0 0 0 C0 0 1 C1 1 0 C2 1 1 C3 10001000 =NOR 1 0 0 0 Can you implement a logic circuit with THREE inputs using a 4 x 1 MUX?

8 2 x 1 MUX is a universal element

9 Step 1 Gout = x & !y # x & Gin # !y & Gin A = !y & Gin B = !y # Gin # !y & Gin x = 0 x = 1 Implement the following logic equation using 2 x 1 MUXs

10 Step 2 A = !y & Gin B = !y # Gin # !y & Gin y = 0 0-input = Gin y = 1 1-input = 0 y = 0 0-input = 1 y = 1 1-input = Gin

11 4 x 1 MUX The variable Gout is 1 if x > y or if x = y and Gin = 1.

12 Majority Circuit Y 4 x 1 MUX s1s2 C0 C1 C2 C3 0 s0 1


Download ppt "Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2."

Similar presentations


Ads by Google