Presentation is loading. Please wait.

Presentation is loading. Please wait.

Xiaoqing Xu1, Tetsuaki Matsunawa2

Similar presentations


Presentation on theme: "Xiaoqing Xu1, Tetsuaki Matsunawa2"— Presentation transcript:

1 A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation
Xiaoqing Xu1, Tetsuaki Matsunawa2 Shigeki Nojima2, Chikaaki Kodama2, Toshiya Kotani2 David Z. Pan1 1University of Texas at Austin 2 Toshiba Corporation, Semiconductor & Storage Products Company, Yokohama, Japan First intern from UT-Austin in Toshiba MLG

2 Outline Introduction on Sub-Resolution Assist Feature
Grid-based SRAF Generation A Machine Learning based Approach Experimental Results and Discussions A quick survey on what is SRAF and how to insert SRAF

3 Optical Proximity Correction
What is SRAF? Sub-Resolution Assist Feature Make isolated features more dense Deliver light to target features at proper phase Target Patterns SRAF Generation Optical Proximity Correction Mask patterns fail pass MRC and LCC (a) (b) (c) Introduce a typical computational SRAF role: Delivering light to the main target features at proper phase MRC: mask rule check, LCC: lithographic compliance check (PV band and EPE) Typical mask manufacturing rules for SRAFs include maximum width (max width) rule, minimum space (min space) rule and maximum length (max length) rule The LCC will introduce a lithographic process window in- volving a set of {focus, dose} conditions. Lithography simulations at various conditions are performed to check whether the metrics, such as PV band and edge placement error (EPE), meet the criteria.

4 How to Insert SRAF’s? – Prior Arts
Model-based SRAF Brion/Mentor - US patents Heuristic rectangle insertions High runtime cost Rule-based SRAF [Jun+, SPIE2015] Extract rules from MB SRAF Large rule table size Rule coverage and conflict Large turn-around time Model based, more accurate, but need heuristic rectangular shapes insertion, runtime is an issue Rule based, very fast, but hard to define and extract rules from model based SRAFs, performance is not guaranteed

5 Our Contributions Machine learning based techniques for SRAF generation are proposed for the first time Novel feature extraction and compaction for consistent SRAF generation Achieve competitive lithographic performance with significant speed up compared with model-based approach within a complete mask optimization flow Model based, more accurate, but need heuristic rectangular shapes insertion, runtime is an issue Rule based, very fast, but hard to define and extract rules from model based SRAFs, performance is not guaranteed

6 Grid-based SRAF Insertion
From ‘Rule’ to ‘Function’/‘Model’ y = F(x), x is the position, y is the SRAF label (0 or 1) (x1, y1=1) (x2, y2=0) (x3, y3=1) (x4, y4=0) ~ grid error … … Grid Position: X={xk} SRAF label: Y={yk} Grid-based insertion: Y = F(X) x2 x3 Our method is still essentially ‘rule’ based, but this rule is a function and can be generalized to any arbitrary target shapes. Put a grid plane in the design and extract generic rule from model based SRAF results x1 x4

7 Machine Learning Extract and Apply the grid-based SRAF insertion
Classification model calibrated using training data SRAF generation using classification model and simplification Classification Model Training patterns with model-based SRAFs Model training Extract and optimize features (X0) and instances (Y0) Training Phase Testing patterns Extract and optimize features (X) SRAF generation Testing Phase Go over the machine learning flow on how to extract the generic rule, which is the classification model from the machine learning perspective. X is called feature vector and Y is called instance/observation

8 Feature Extraction Constrained Concentric Circle w/ Area Sampling
Feature vector x0 and SRAF label y0 for each grid Need to differentiate grids in different positions on the plane, concentric circle sampling can do that. Go over how to extract the feature for each grid x0

9 An Example Feature matrix for each grid 1 1 x0 Matrix: M * N
1 1 x0 Need to differentiate grids in different positions on the plane, concentric circle sampling can do that. Go over how to extract the feature for each grid x0 Matrix: M * N M: number of circles N: number of sub-sampling points in each circle

10 Feature Compaction Symmetric grids share the same feature
Rolling/Flipping(RF) matrix rows Feature optimization based on the source shape symmetry. Axial symmetry or rotational symmetry

11 An Example Symmetric optical conditions for x0 and x1
Rolling/Flipping(RF) matrix rows  RF(x0) = RF(x1) Quadrant Analysis RF(x0) 1 1 x1 1 Feature optimization based on the source shape symmetry. Axial symmetry or rotational symmetry x0 Symmetric grids share same features

12 Classification Model Input: training data: (X0, Y0) = {(x0, y0)}
Output: a classification model: Y = F(X) Logistic Regression p(x) = 1/(1+exp(-wT*x)) Maximum likelihood method with L2 regularization Decision Tree Construct a decision tree from training data Gini index metric is used to decide the best splits at each step of calibration Simple models work Logistic model is a simple classification model.

13 Probability Maximum Prediction with probability maximum
Calculate the probability to be label 1 at each grid Better than direct SRAF label prediction The logistic regression can directly calculate probability With the Gini index, the DTree classifier can estimate the probability of a label for each data sample by calculating the fraction samples of that label in a leaf

14 Lithography Compliance Check
Avoid SRAF printing and better mask manufacturability Prediction results from the logistic regression tend to cluster to denote the SRAF position and size. A post processing step is needed to avoid SRAF printing and high cost of mask manufacturing

15 Experimental Results Benchmarks Complete mask optimization flow
Calibre lithography conditions in an industry setup 70nm width, 140nm minimum pitch for contact holes Mentor Calibre test patterns and random contact hole Complete mask optimization flow Model-based SRAF, OPC and LCC implemented in Mentor Calibre Machine learning based SRAF generation implemented in Python and accelerated by Cython

16 Evaluation Metrics Lithography simulation contours at a set of {focus, dose} conditions Process variation band (PV band) Edge placement error (EPE)

17 Training Data Include sparse and dense contacts
Selected through extensive empirical studies Only one quadrant is used Explain why we choose this training data and why the lower left quadrant is enough for training Basic idea is that one SRAF feature helps at most two contact holes~ The training data incorporate the two contact hole interactions and single contact hole SRAF insertion information~ The potential issue is poor prediction performance on dense patterns~

18 SRAF Consistency Need symmetric SRAFs for single contact hole
MB-SRAF vs ML w/o feature compaction vs ML w/ feature compaction (from left to right)

19 Prediction with Probability Maxima
Simply SRAF predictions are not enough SRAF label predictions vs predictions with probability maximum (from left to right)

20 Testing Data Regular and random patterns

21 Process Variation Band (PV Band)
Compared with model based SRAF Logistic regression better than decision tree ~2.12% degradation of PV band for logistic regression

22 Edge Placement Error (EPE)
Nominal contour Logistic regression is the best, slightly better than model based SRAF For a robust mask optimization flow, it is hard to simultaneous improve the PV band and EPE.

23 Runtime ~10x faster within the lithographic window
Areas range from 1um2 to 2um2

24 Summary A machine learning based framework is proposed for the SRAF generation A robust feature extraction scheme and a novel feature compaction technique to improve the SRAF consistency. 10X speed up in layout windows with comparable performance compared with an industry strength model-based approach.

25 Thanks Q&A

26 Back up

27 CCCAS - Parameters Grid size (control) g = 5/10/15/20/25nm
For machine learning (ML): data size Window size/diameter: D = 1200nm (empirical) For ML: feature dimension and accuracy Number of circles M = floor(( )/15) = 33 Number of points in each circle N = 180/theta, tan(theta) = w/D,

28 Results


Download ppt "Xiaoqing Xu1, Tetsuaki Matsunawa2"

Similar presentations


Ads by Google