Presentation is loading. Please wait.

Presentation is loading. Please wait.

Embedded System Lab. 정범종 A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015.

Similar presentations


Presentation on theme: "Embedded System Lab. 정범종 A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015."— Presentation transcript:

1 Embedded System Lab. 정범종 tuckdae@naver.com A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015

2 정 범 종정 범 종 Embedded System Lab. Table of contents Background Problems & Challenges Reference paper A-DRM  A-DRM : Design  A-DRM : Implementation Evaluation Conclusion Reference

3 정 범 종정 범 종 Embedded System Lab. Backgound Virtualized systems  Virtual machine can interact independently with other devices, applications, data and users as though it were a separate physical resource  DRM(Distributed Resource Management)  Virtualized cluster  Para-Virtualization / Full-Virtualization

4 정 범 종정 범 종 Embedded System Lab. Backgound Live migration  The process of moving a running virtual machine or application between different physical machines without disconnecting the client or application  High resource utilization and energy savings Microarchitecture / Performance counters  Performance Monitoring Unit  hardware performance counters To provide clear and accurate performance information to the software developer IPC (Instruction Per Cycle)

5 정 범 종정 범 종 Embedded System Lab. Problems & Challenges DRM schemes usually use operating-system-level metrics  CPU utilization, memory capacity demand and I/O utilization DRM schemes are oblivious to microarchitecture-level resource interference A-DRM takes into account microarchitecture-level resource interference  when making migration decisions in a virtualized cluster

6 정 범 종정 범 종 Embedded System Lab. Reference Paper Cuanta: Quantifying Effects of Shared On-chip Resource Interference for Consolidated Virtual Machines this paper focus on the performance impact of consolidated applications due to shared on-chip resources such as the lastlevel cache space and memory bandwidth An average prediction error of less than 4% is achieved across a wide variety of benchmark workload

7 정 범 종정 범 종 Embedded System Lab. A-DRM : Design Profiler  monitor resource usage/demands and report them to the controller periodically  Composition CPU and Memory profiler, architectural resource profiler Controller  detect microarchitecture-level shared resource interference  leverage this information to perform VM migration.  Composition Profiling Engine, Architecture-aware Interference Detector, Architecture-aware DRM policy, Migration Engine

8 정 범 종정 범 종 Embedded System Lab. A-DRM : Design - Controller Profiling Engine  The profiling engine stores the data collected by the profiler Architecture-aware Interference Dectector  It is invoked at each scheduling interval to detect microarchitecture-level shared resource interference Architecture-aware DRM policy  It is used to determine new VM-to-Host mappings to mitigate the detected interference  computes the increase in LLC miss rates at each potential destination host, to quantify the cost and benefit / Migration Engine  The migration engine is then invoked to achieve the new VM-to-Host mappings via VM migration

9 정 범 종정 범 종 Embedded System Lab. A-DRM : Implementation A-DRM use the Linux performance monitoring tool perf to access the hardware performance counters Memory Bandwidth Measurement in NUMA System  Cost-Benefit Analysis  Cost  VM Migration  Performance Degradation at dst Benefit  Performance Improvement of vm  Performance Improvement at src

10 정 범 종정 범 종 Embedded System Lab. Evaluation Workload Characterization  there is no strong correlation between memory capacity demand and memory bandwidth (left figure)  generally, workloads that consume low memory bandwidth exhibit a high LLC hit ratio (right figure)

11 정 범 종정 범 종 Embedded System Lab. Evaluation A-DRM Case Study we conclude that by migrating VMs appropriately using online measurement of microarchitecture-level resource usage

12 정 범 종정 범 종 Embedded System Lab. Conclusion A-DRM can enhance the performance of virtual machines by up to 26.55% (average of 9.67%), A-DRM improves the average cluster-wide memory bandwidth utilization by 17% (up to 36%) Results show that being aware of microarchitecture-level shared resource usage can enable A-DRM scheme to make more effective migration decisions

13 정 범 종정 범 종 Embedded System Lab. Q & A

14 정 범 종정 범 종 Embedded System Lab. Architecture-aware Interference Detector Architecture-aware DRM policy

15 정 범 종정 범 종 Embedded System Lab. Evaluation Performance Studies for Heterogeneous Workloads

16 정 범 종정 범 종 Embedded System Lab. Evaluation Sensitivity to Workload Intensity

17 정 범 종정 범 종 Embedded System Lab. Evaluation Parameter Sensitivity  The performance of A-DRM can be affected by control knobs such as the MBW_Threshold, live migration timeout, and the sliding window size  evaluate the impact of these different parameters


Download ppt "Embedded System Lab. 정범종 A_DRM: Architecture-aware Distributed Resource Management of Virtualized Clusters H. Wang et al. VEE, 2015."

Similar presentations


Ads by Google