Presentation is loading. Please wait.

Presentation is loading. Please wait.

1 Colloidal Aspects of Chemical Mechanical Polishing (CMP) Tanuja Gopal & Jan Talbot Chemical Engineering Program University of California, San Diego May.

Similar presentations


Presentation on theme: "1 Colloidal Aspects of Chemical Mechanical Polishing (CMP) Tanuja Gopal & Jan Talbot Chemical Engineering Program University of California, San Diego May."— Presentation transcript:

1 1 Colloidal Aspects of Chemical Mechanical Polishing (CMP) Tanuja Gopal & Jan Talbot Chemical Engineering Program University of California, San Diego May 10, 2004

2 2 Outline Introduction Background & Motivation Research Approach Experimental Results Conclusions Future Work

3 3 What is CMP? Unplanarized Surface smoothing Local planarization Global planarization Ref.: Steigerwald, J. M., Murarka, S. P. and R. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials, Wiley and Sons, New York (1997). CMP is a method through synergistic effects of chemical and mechanical forces to achieve local and global planarization of Integrated Circuit (IC) structures.

4 4 CMP Applications Oxide CMP Metal CMP Barrier Layer Deposition Patterning Dielectric Blanket MetalizationAfter CMP Cu SiO 2 CMP Ta Si CMP SiO 2

5 5 CMP Schematic slurry waferpolishing pad platen head polishing pad wafer slurry wafer carrier P = 1.5-13 psi (100-300 ml/min) V= 20-60 rpm (polyurethane)

6 6 CMP Parameters Process Variables Wafer down pressure Wafer velocity Pad characteristics Particle characteristics Slurry chemistry Substrate characteristics Process Results Material Removal Rate Planarization Surface finish

7 7 Typical Process Conditions Wafer Wafer rotational speed = 20 - 60 rpm Applied pressure = 1.5-13 psi Slurry Flow rate = 100 - 300 ml per min Particle type = silica, alumina, ceria, titania, etc. Particle concentration = 1 - 30 % by weight Particle size = 50 - 1000 nm diameter Removal Rate SiO 2 = 200 - 300 nm per minute Cu or W = 300 - 600 nm per minute Planarization time = 1- 3 min RMS roughness = < 1 nm

8 8 Mass Transfer Process (a) movement of solvent into the surface layer under load imposed by abrasive particle (b) surface dissolution under load (c) adsorption of dissolution products onto abrasive particle surface (d) re-adsorption of dissolution products (e) surface dissolution without a load (f) dissolution products washed away or dissolved Surface Dissolution products Abrasive particle Surface dissolution Ref.: L. M. Cook, J. Non-Crystalline Solids, 120, 152 (1990).

9 9 CMP Defects Surface Particle Embedded Particle Ripout Residual Slurry Micro- scatch Dishing Ref.: Philipossian et al. (2001)

10 10 Why CMP ? Multi-material surfaces Global planarization 200 and 300 mm (8 and 12 inch) wafers ICs have feature sizes <0.2  m RMS roughness: < 1nm Disadvantages Large water consumption CMP defects End point detection

11 11 Motivation for Research Fundamental understanding of chemical effects in CMP  Role of slurry chemistry not understood (additives, ionic strength, pH) Optimize slurries -high removal rates w/ adequate planarity  Reduce consumables (slurries are expensive, mostly not recycled) Enhance post CMP cleaning – large water usage Focus on Copper CMP – Cu interconnect of choice Lack of comprehensive CMP model Lou and Dornfeld CMP mechanical model- add colloidal effects

12 12 Research Approach Experimental study of colloidal behavior of CMP slurries Zeta potential and particle size distribution measurements Function of pH, ionic strength, additives Commercial alumina slurries Alumina – no additives Alumina in presence of common Cu CMP additives Agglomeration during CMP Incorporate colloidal chemistry into existing mechanical model by Lou and Dornfeld Average particle size, standard deviation parameters Comparison to literature material removal rates

13 13 Cu CMP Chemical Reactions Dissolution: Cu(s) + HL  CuL + (aq) + H + + e Oxidation: 2Cu + H 2 O  Cu 2 O + 2H + + 2e Oxide dissolution: Cu 2 O + 3H 2 O  2CuO 2 2- + 6H + + 2e Complexation (to enhance solubility) Cu 2+ + HL  CuL + + H + Cu CuO, Cu 2 O, CuL 2 CuL +, Cu 2+, Cu +

14 14 Pourbaix Diagrams Pourbaix diagrams-predicts stable phases in aqueous systems at equilibrium copper-water system, [Cu T ]=10 -5 M Ref.: Aksu and Doyle (2002) copper-water-glycine system, [L T ]=10 -1 M [Cu T ]=10 -5 M

15 15 Colloidal Aspects of CMP 1)Particle – particle 2)Particle – surface 3)Particle – dissolution product 4)Surface – dissolution product Surface Abrasive particle Dissolution product Interaction forces influence particle stability, aggregation,deposition

16 16 Electrical Double Layer + + + + + ++ + + + + + + + + + + + + + + a + + + DistancePotential  1/  Diffuse Layer Shear Plane Particle Surface Potential at surface usually stems from adsorption of lattice ions, H + or OH - Potential is highly sensitive to chemistry of slurry Slurries are stable when all particles carry same charge; electrical repulsion overcomes Van der Waals attractive forces Agglomeration may occur for |  | < 5mV.

17 17 Measurement of Zeta Potential EYEPIECE PRISM MICROSCOPE  calculated using Smoluchowski eqn: (valid for  a >>1)  = v  /  E Particle velocity measured through microscope using rotating prism technique Pen Kem Lazer Zee Meter accuracy = ± 5mV Brookhaven ZetaPlus accuracy = ± 2% particle size-light scattering |  |≥ 30 mV: stable |  | < 5 mV: agglomeration

18 18 Background – Colloidal Effects Zeta potential and iso- electric point ( IEP, pH where surface charge is neutral ) of polished surface and abrasive particle is important Ref.:Malik et al. (1997) Polishing Regime pH Zeta Potential (mV)

19 19 Colloidal effects Maximum polishing rates for glass observed compound IEP ~ solution pH > surface IEP (Cook, 1990) Polishing rate dependent upon colloidal particle - W in KIO 3 slurries (Stein et al., J. Electrochem. Soc. 1999) Polishing rate (  /min) Colloid oxide Glass polishing rate (  m/min) Oxide Isoelectric point

20 20 Agglomeration Agglomeration process of the slurry versus pH, additive concentration, and ion concentration (Bellman et al., 2002)

21 21 Removal Rate in CMP Preston’s Equation - most widely used model in CMP: MRR = K*V*P – MRR = Material removal rate – K = Preston constant – P = Pressure in the wafer- pad space – V = Linear pad- wafer velocity Drawbacks of Preston’s Eqn: Does not take into account chemical synergistic effects Fails to provide insight into the interaction process (particle size, concentration, pad variables etc.) Ref.: Luo and Dornfeld (1998)

22 22 Model Review Mechanical Models: Boning (2001) Parameters:P,V, pattern density, step height Discretize the chip to create a P profile then use Preston’s Eqn. to calculate removal rate. Dornfeld (2001) Parameters: P, V, pad hardness, pad roughness, abrasive size, abrasive geometry, wafer hardness MRR =  w N Vol  w = density of wafer N = number of active abrasives Vol = volume removed by single abrasive

23 23 Model Review Chemical Models: Stein model (1999) : MRR = k ’ PV/(1+k ” PV) Main variables: type of colloidal species and concentration Chemistry, particle size, P, V constant Found that MRR and temperature were functions of colloid species concentration Subramanian model (1999): mass transport model Chemical removal of material coupled with mass transport MRR lower than observed rates because excludes mechanical action Gutman (2000): MRR = k ’[O] /(1+k ”[O] ) Main variable: Oxidizer concentration MRR increases with oxidizer concentration upto saturation point (2 wt %)

24 24 Model Review Synergistic Model: Gokis (2000)- MRR results from abrasive and chemical action MRR = k chem (RR mech ) o + k mech (RR chem ) o (RR mech ) o = mechanical wear = K e PV (RR chem ) o = chem. dissolution = k r exp(-E/RT)  C i n k chem = factor accounting for chemical modification k mech = factor accounting for abrasive activation

25 25 Effects of glycine and H 2 O 2 on Cu removal rate (Seal et al., 2003)

26 26 Experimental Study Alumina, silica pH Ionic strength Ultrasonication Cu CMP additives Stability of colloidal particles A) Measurement of Zeta Potential B) Measurement of particle size and distribution as function of slurry chemistry Coagulation/ well-dispersed Bi-modal – near IEP

27 27 Research Study Experiments Ceralox ® alumina DI H 2 O w/ KCl to alter ionic strength –( Babu et al., 2000) Commercial alumina slurries from Stein (Sandia National Laboratories) EKC Tech slurry (Doyle, UCB)- Cu CMP slurry additives Model MRR predictions vs. literature experimental polishing data Average particle size and standard deviations used in Lou and Dornfeld model

28 28 Alumina particles in DDI H 2 O IEP  9 (Sumitomo Chem. Co.,250 nm) (Ceralox ®, 300 nm)

29 29 Ceralox ® alumina – ionic strength Ionic Strength: 10 -4 to 10 -7 M

30 30  vs. pH for Ceralox alumina particles with 10 -3 M KNO 3 IEP ~9, agglomeration Broader distribution near IEP Average size 300 nm Standard deviation pH 3.5-7 ~ 10 nm pH 9 ~300 nm

31 31 Common Cu slurry additives AdditivesNameConcentration Buffering agent KOHHNO 3 NH 4 OH, KOH, HNO 3 bulk pH 3-8 Complexing agentGlycine Ethylene-diamine-tetra-acetate EDTA (EDTA) citric acid 0.01-0.1M Corrosion inhibitor BTA Benzotriazole (BTA) 3-amino-triazole (ATA) KI 0.01-1wt% Oxidizer H 2 O 2 H 2 O 2, KIO 3, K 3 Fe(CN) citric acid 0-2 wt% Surfactant SDS Sodium-dodecyl-sulfate (SDS), cetyltrimethyl-ammonium- bromide (CTAB) 1-20 mM

32 32  and particle size vs. pH for EKC Tech alumina with 10 -3 M KNO 3 IEP ~9 → agglomeration  varied by±15% 200 nm - pH<8 particle size standard deviation  8  > 300 nm for pH<8

33 33  and particle size vs. pH for EKC Tech alumina with 10 -3 M KNO 3 and glycine IEP ~9, agglomeration  varied by ±2% 200 nm pH<8

34 34  and particle size vs. pH for EKC Tech alumina with 10 -3 M SDS and 10 -3 M KNO 3  ranged from -34 to -46 mV Average particle size ~220nm (approximately double stated size) Particle size standard deviation small (< 5nm) pH 6

35 35  and particle size vs. pH for EKC Tech alumina with 0.01 wt% BTA or 0.01M EDTA & 10 -3 M KNO 3 BTA - no effect EDTA - shifted IEP to pH 5, large particles

36 36 Lou and Dornfeld Mechanical Model Slurry Concentration C Average Abrasive Size X avg Proportion of Active Abrasives N Force F & Velocity Active Abrasive Size X act Passivation rate Wafer hardness H w Vol Basic Eqn. of Material Removal: MRR = N x Vol Ref.: Lou and Dornfeld (2001)

37 37 Overall Research Approach Comprehensive Model (Dornfeld, 2003) a) Mechanical effects (Dornfeld et al., UCB) b) Electrochemical effects (Doyle et al., UCB) c) Colloidal effects (Talbot & Gopal, UCSD) (Moon and Dornfeld et al. 1999) Slurry film thickness (mm) Si Wafer Pressure: 1.5 psi Velocity: 2-12 rpm Polishing time: 2-4 hours

38 38 Model Sensitivity to Standard Dev. Simplified dependency on standard deviation For x avg <500 nm small variation σ results in large % change in MRR

39 39 Collision Efficiency CMP 10 4 -10 6 s -1 Collison Efficiency   )  fraction collisions → permanent attachment Most particles do not agglomerate 10 4 10 6 10 5

40 40 Maximum Aggregate Size Effective Particle Size (nm)Max. Aggregate Size (nm) Shear rate 10 4 s -1 100180 200 or greaterTotal aggregate break up Shear rate 10 3 s -1 1001800 200900 300600 400 or greaterTotal aggregate break up Rmax =

41 41 P = 1 psi, 4 inch blanket wafer, wafer carrier & platen velocity = 100 rpm, pad hardness = 100 MP, passivation rate = 100 nm/min

42 42 MRR prediction and particle size for alumina with and without glycine Max. MRR 160 nm/min without additives Max. MRR 120nm/min with 0.1M glycine No additives 0.1 M glycine

43 43 MRR prediction and particle size for alumina with glycine and hydrogen peroxide Max. MRR 170 nm/min with 0.1 wt% H 2 O 2 Max. MRR 220 nm/min with 2 wt% H 2 O 2 0.1M glycine, 0.1wt% H 2 O 2 0.1M glycine, 2 wt% H 2 O 2

44 44 MRR prediction and particle size for alumina with Cu slurry additives MRR 1-10 nm/min Particle size 0.5 -3 microns 0.01wt% BTA, 10 -3 M SDS, 0.1M glycine, 0.1wt% H 2 O 2, 0.01wt% BTA, 10 -3 M SDS, 0.01M EDTA, 0.1wt% H 2 O 2,

45 45 Summary- effects of additives AdditiveEffect Glycine  stabilizing agent BTANo effect EDTAUnstable, agglomeration SDS2x agglomeration, stable, negative ζ

46 46 Conclusions Background electrolyte Particle size distribution vs. IEP Effects of Cu polishing rates w/different chemistries Cu-glycine complexes in presence of H 2 O 2 result in increased MRR Slurry additives affect colloidal behavior – pH largest effect Lou and Dornfeld model Can predict trends well Model is sensitive to variation of 

47 47 Future Work Cu CMP Experiments Slurry additives: glycine, hydrogen peroxide Zeta potential – w/ dissolved Cu or Cu particles Model improvements Use actual particle distribution Surface hardness link to chemistry Passivation rate of Cu (Doyle) Adhesion tests – post-CMP cleaning


Download ppt "1 Colloidal Aspects of Chemical Mechanical Polishing (CMP) Tanuja Gopal & Jan Talbot Chemical Engineering Program University of California, San Diego May."

Similar presentations


Ads by Google