Presentation is loading. Please wait.

Presentation is loading. Please wait.

Plasma Processing Overview

Similar presentations


Presentation on theme: "Plasma Processing Overview"— Presentation transcript:

1 Plasma Processing Overview
Prof. Karen K. Gleason, Department of Chemical Engineering, MIT © 1999 Massachusetts Institute of Technology. All rights reserved

2 (radicals, unreacted gas)
Plasma Schematic A partially ionized gas created by application of an electric field. Positive ion/electron pairs are created by ionization reactions, maintaining overall charge neutral. Commonly, radio-frequency (rf) at MHz is used to create the glow discharge. Typical pressures are between 1 mtorr and 5 torr. neutrals (radicals, unreacted gas) ions electrons glow discharge Sheath (dark space) Substrate charged particles are accelerated by the electric field

3 Species Present in the Plasma
The degree of ionization is small (typically ~10-4), so the neutral gas species predominate. ni is the ion density ne is the electron density Because of their charge and low mass, electrons in the plasma acquire energy from the applied electric field. AVERAGE electron energies in a plasma are 1-10 eV. Some electrons will have even higher energies, and this high energy tail is responsible for many of the reactions that occur in the plasma. f(E) is the electron energy distribution. The electrons have a much higher temperature than the neutral gas (T=300K ~ eV). Thus, the plasma is a non-equilibrium environment. This allows some chemical reactions to occur which would require much higher gas temperatures in the absence of the plasma. Collisions with energetic electrons can also cause dissociation of molecules into highly reactive species known as radicals. Radical concentrations can be much higher than the concentration of charged species.

4 Reactions of Energetic Electrons
Collision of energetic electrons with neutrals can cause ionization. Typically >8 eV is required. (ex eV needed to ionize an H atom) Collisions with less energetic electrons can produce electrically excited species. Some will give off light when they decay to their ground state. This phenomena gave rise to the term “glow discharge” being used as an synonym for plasma. The emitted light can also be used to perform optical emission spectroscopy, which can identify excited species based on the wavelength of the emitted light. Numerous possible reactions and species (complex chemistry)

5 Gas-Phase (Homogeneous) Plasma Reactions
Dissociation: e* + AB ® A + B + e Ionization Atomic : e* + A ® A+ + e + e Molecular : e* + AB ® AB+ + e + e Excitation Atomic : e* + A ® A* + e Molecular: e* + AB ® AB* + e Recombination Atomic : e + A+ ® A Molecular: e + AB+ ® AB Relaxation Atomic : A* ® A + hn Molecular: AB* ® AB + hn * indicates high energy species

6 Interaction of Homogeneous & Heterogeneous (Surface) Processes
Generate Reactive Species Flowing Gas Plasma

7 Ions Ions can be accelerated across the sheath, producing energetic ion bombardment of the surface. The ions give rise to the directionality (anisotropy) of plasma etching processes either: directly (sputtering, ion beam milling) indirectly by assisting chemical attack by neutrals (ion-assisted etching)

8 Plasma Parameters n , f(E) N , t (adapted from Kay et. al., 1980)
Excitation Frequency rf MHz Gas Flow Rate low- kHz dual µwave n Excitation Power e , f(E) Reactor Geometry Magnetic Field N , t Chemical Nature of Pressure Feed Chemical Nature of Surface Surface Geometry Consequences in Plasma-Surface Interaction Substrate Electrical Temperature Potential of Surface

9 Uses of Plasma Processing in Semiconductor Industry
Chemical Vapor Deposition (CVD) chemical reactions occur at lower temperatures in the plasma Chamber Cleaning able to remove film buildup from the reactor walls Etching (Patterning) vertical sidewalls (anisotropic etching) Dry Cleaning in place of wet chemical rinses to remove residues and contamination

10 Plasma-Enhanced CVD (PECVD)
Used for dielectrics like silicon dioxide and silicon nitride High quality, defect free films Can cover non-planar surfaces Patterned by plasma etching Deposition occurs on silicon wafer and on reactor walls

11 Motivation for Chamber Cleaning
Reduces particle formation Prevents drifts of the CVD chemistry cause by interaction of the plasma with the bare vs the coated reactor walls (improves reproducibility) Reduces equipment failure (improves production availability)

12 Etch Profiles Wet Chemical Etching: isotropic
undercut profile, increased real estate used, loss of dimensional control Plasma Etching: anisotropic or directional vertical sidewalls resist SiO2 silicon

13 Limitations of Isotropic Etching
Desired Pattern W D W<D impossible

14 Etching Performance Requirements
Rate Selectivity (mask to etch layer; exposed layer to etch layer) Profile (directionality of etch; isotropy/anisotropy) Planarity Uniformity (across wafer) Minimal damage to substrates as a result of handling Reproducibility (run-to-run) Low particulates Low contamination PECVD cleaning presently uses more PFCs than wafer patterning and is the faster growing application, but wafer patterning usage is still significant.

15 Perfluorocompound (PFC) Usage
Dielectric film processes constitute bulk of PFC usage. Dielectric film processes presently rely exclusively on PFCs.

16 PFC plasmas Electrical energy (usually rf or microwave) dissociates PFC to free fluorine and CFx radicals. F is the dominate etch species. CFx lead to polymer formation. Ion bombardment sputters away fluorocarbon polymer at bottom of trench. Fluorocarbon polymer remains on sidewalls, resulting in anisotropic etching.

17 Competitive Etching and Deposition
tends to consume the F etchant to form HF H addition O addition tends to consume the CF radicals form CO, CO2 2 2 C2F4 C2F6 CF4 -200 Etching Bias Applied to Surface (volts) -100 Polymerizing Fluorine to Carbon Ratio (F / C) of Gas Phase

18 Possible F etching mechanisms
surface bulk + 2F F Si F F F Si F F Si Si + 2F F F F F Si Si + SiF4

19 Flow Rate & Pumping Speed Considerations
P : reactor pressure V : reactor volume t : average residence time for gas in the reactor Q : gas flow rate S : pumping speed sccm=standard cm3/min S = Q*1atm/P standard conditions are 0°C & 1 atm t = V/S Pressure can be adjusted in two ways: a) Fix Q, vary S. This varies t. b) Fix S, vary Q. This fixes t. The etch rate as a function of pressure can depend on the method used to vary the pressure.

20 Mass Balance on Fluorine Atoms: Maximum Etch Rate
CzHyFx SiF4 silicon or silicon dioxide Highest etch rate is when all fluorine leaves the reactor as SiF4. Flux of Fluorine in (#atoms per min)= x*Q* Nsccm x= number of fluorine atoms per etchant molecule Q = inlet flow rate of etchant molecules in sccm Nsccm = 2.69 x 1019 molecules/min Flux of Fluorine out = 4 * E * A * NSi 4 = the number of fluorine atoms per SiF4 E = etch rate (cm/min) A = area being etched (substrate for pattering or chamber wall for cleaning) (cm2) NSi = number of silicon atoms per cm3 for Si : 5 x Si/cm3 for SiO2 : 2.66 x Si/cm3 Emax = ( x*Q* Nsccm )/(4 * A * NSi) Utilization Factor = Eobserved/Emax

21 Etch Rate and Flow Maximum etch rate is proportional to flow rate. At low flow rate, the etch rate can be limited by the rate at which reactants are supplied. At high flow rates, the reactants are pumped out before before etching can occur. These two competing factors lead to a maximum in etch rate. Increasing the utilization factor will use less gas. However, at utilization factors > 0.1, non-uniform etch rates often occur across the wafer. Thus, there is a trade-off between using less resources and process performance. E Q

22 Process Optimization to Improve Conversion Efficiency
In situ process monitoring - end point detection insures run to run reproducibility over topography, thickness variation in dielectric films to be etched. Thus some areas will be etched before others. optical emission spectroscopy laser interferometry and laser reflectance Effluent characterization mass spectroscopy fourier transform infrared spectroscopy Process parameter variation Many process variables Many non-linear interactions Design of Experiments (DOE) desirable

23 Recommended Reading S. Wolf and R.N. Tauber, “Silicon Processing for the VLSI Era: Volume 1-Process Technology” (Lattice Press, Sunset Beach, CA, 1986). p (also p for DOE) B.N. Chapman, T.A. Hansen and V.J. Minkiewicz, “The implication of flow-rate dependencies in plasma etching”, J. Appl. Phys. 51, 3608 (1980).


Download ppt "Plasma Processing Overview"

Similar presentations


Ads by Google